mirror of
https://github.com/microsoft/monaco-editor.git
synced 2025-12-22 13:55:41 +01:00
343 lines
No EOL
1.6 MiB
343 lines
No EOL
1.6 MiB
//This is a generated file via gulp generate-test-samples
|
||
define([], function() { return[
|
||
{
|
||
"name": "run-editor-failing-js.txt",
|
||
"content": "var container = document.getElementById(\"container\");\r\nvar cssCode = [\r\n\t'body {',\r\n\t'\tmargin: 0px;',\r\n\t'\tpadding: 0px;',\r\n\t'}'\r\n].join('\\n');\r\n\r\nMonaco.Editor.create(container, {\r\n\tvalue: cssCode,\r\n\tmode: \"text/css\"\r\n});\r\n\r\nrequire(['vs/platform/platform', 'vs/editor/modes/modesExtensions'], \r\n\tfunction (Platform, ModesExtensions) {\r\n\t\tvar modesRegistry = Platform.Registry.as(ModesExtensions.Extensions.EditorModes);\r\n\t\t\r\n\t\t// Try 'ignore', 'warning', and 'error'\r\n\t\tmodesRegistry.configureMode('text/css', {\r\n\t\t\t\"validationSettings\": {\r\n\t\t\t\t\"lint\": {\r\n\t\t\t\t\tcompatibleVendorPrefixes\": \"warning\",\r\n\t\t\t\t\tvendorPrefix\": \"warning\",\r\n\t\t\t\t\tduplicateProperties\": \"warning\",\r\n\t\t\t\t\temptyRules\": \"warning\",\r\n\t\t\t\t\timportStatement\": \"ignore\",\r\n\t\t\t\t\tboxModel\": \"ignore\",\r\n\t\t\t\t\tuniversalSelector\": \"warning\",\r\n\t\t\t\t\tzeroUnits\": \"ignore\",\r\n\t\t\t\t\tfontFaceProperties\": \"warning\",\r\n\t\t\t\t\thexColorLength\": \"error\",\r\n\t\t\t\t\targumentsInColorFunction\": \"error\",\r\n\t\t\t\t\tunknownProperties\": \"warning\",\r\n\t\t\t\t\tunknownVendorSpecificProperties\": \"warning\",\r\n\t\t\t\t\tpropertyIgnoredDueToDisplay\": \"warning\",\r\n\t\t\t\t\timportant\": \"ignore\",\r\n\t\t\t\t\tfloat\": \"ignore\",\r\n\t\t\t\t\tidSelector\": \"ignore\"\r\n\t\t\t\t}\r\n\t\t\t}\r\n\t\t});\r\n\t}\r\n);\r\n\r"
|
||
},
|
||
{
|
||
"name": "run-editor-intellisense-js.txt",
|
||
"content": "\r\n\"use strict\";\r\nfunction Person(age) {\r\n\tif (age) {\r\n\t\tthis.age = age;\r\n\t}\r\n}\r\nPerson.prototype.getAge = function () {\r\n\treturn this.age;\r\n};\r\n\r\nfunction Student(age, grade) {\r\n\tPerson.call(this, age);\r\n\tthis.grade = grade;\r\n}\r\nStudent.prototype = new Person();\r\nStudent.prototype.getGrade = function () {\r\n\treturn this.grade;\r\n};\r\n\r\nvar s = new Student(24, 5.75);\r\n//var age = s.\r\n\r\n//delete s.age;\r\n//s.getAge = function() { return {foo:\"bar\"}; };\r\n//s.\r\n//s.getAge().\r\n\r\n\r\n\r\n\r\n\r\n\r\n"
|
||
},
|
||
{
|
||
"name": "run-editor-jquery-min-js.txt",
|
||
"content": "نقطة التقاء نهريّ الساڤا والدانوب، حيث يلتقي السهل الپانونّي لأوروبا الوسطى بشبه جزيرة البلقان. يصل عدد سكان المدينة نفسها إلى\r\n//< as\r\n\r\n/*\r\nFour byte: Ext-A 㐀㒣㕴㕵㙉㙊䵯䵰䶴䶵 (Unicode 3.0)\r\nFour byte: Ext-B 𠀀𠀁𠀂𠀃𪛑𪛒𪛓𪛔𪛕𪛖 (Unicode 3.1)\r\nFour byte: Mongolian ᠀᠐᠙ᠠᡷᢀᡨᡩᡪᡫ\r\nFour byte: Tibetan ༀཇཉཪཱྋ྾࿌࿏ྼྙ\r\nFour byte: Yi ꀀꒌꂋꂌꂍꂎꂔꂕ꒐꓆\r\nFour byte: Uighur ،؟ئبتجدرشعەﭖﭙﯓﯿﺉﺒﻺﻼ\r\nFour byte: Tai Le ᥐᥥᥦᥧᥨᥭᥰᥱᥲᥴ\r\nFour byte: Hangul ᄓᄕᇬᇌᇜᇱㄱㅣ가힝\r\n\r\n*/\r\n\r\n//فرعون) هو فيلم وثائقي من إنتاج لجنة تكريم شهداء الحركة الإسلامية العالمية الإيرانية. يتناول الفيلم عملية اغتيال الرئيس المصري السابق\r\n//The Chinese\r\n//العربية/عربي al-ʻarabīyah/ʻarabī language\r\n// (汉语/漢語 Hànyǔ; 华语/華語 Huáyǔ; 中文 Zhōngwén)\r\n/*! jQuery v1.8.2 jquery.com | jquery.org/license */\r\n(function(a,b){function G(a){var b=F[a]={};return p.each(a.split(s),function(a,c){b[c]=!0}),b}function J(a,c,d){if(d===b&&a.nodeType===1){var e=\"data-\"+c.replace(I,\"-$1\").toLowerCase();d=a.getAttribute(e);if(typeof d==\"string\"){try{d=d===\"true\"?!0:d===\"false\"?!1:d===\"null\"?null:+d+\"\"===d?+d:H.test(d)?p.parseJSON(d):d}catch(f){}p.data(a,c,d)}else d=b}return d}function K(a){var b;for(b in a){if(b===\"data\"&&p.isEmptyObject(a[b]))continue;if(b!==\"toJSON\")return!1}return!0}function ba(){return!1}function bb(){return!0}function bh(a){return!a||!a.parentNode||a.parentNode.nodeType===11}function bi(a,b){do a=a[b];while(a&&a.nodeType!==1);return a}function bj(a,b,c){b=b||0;if(p.isFunction(b))return p.grep(a,function(a,d){var e=!!b.call(a,d,a);return e===c});if(b.nodeType)return p.grep(a,function(a,d){return a===b===c});if(typeof b==\"string\"){var d=p.grep(a,function(a){return a.nodeType===1});if(be.test(b))return p.filter(b,d,!c);b=p.filter(b,d)}return p.grep(a,function(a,d){return p.inArray(a,b)>=0===c})}function bk(a){var b=bl.split(\"|\"),c=a.createDocumentFragment();if(c.createElement)while(b.length)c.createElement(b.pop());return c}function bC(a,b){return a.getElementsByTagName(b)[0]||a.appendChild(a.ownerDocument.createElement(b))}function bD(a,b){if(b.nodeType!==1||!p.hasData(a))return;var c,d,e,f=p._data(a),g=p._data(b,f),h=f.events;if(h){delete g.handle,g.events={};for(c in h)for(d=0,e=h[c].length;d<e;d++)p.event.add(b,c,h[c][d])}g.data&&(g.data=p.extend({},g.data))}function bE(a,b){var c;if(b.nodeType!==1)return;b.clearAttributes&&b.clearAttributes(),b.mergeAttributes&&b.mergeAttributes(a),c=b.nodeName.toLowerCase(),c===\"object\"?(b.parentNode&&(b.outerHTML=a.outerHTML),p.support.html5Clone&&a.innerHTML&&!p.trim(b.innerHTML)&&(b.innerHTML=a.innerHTML)):c===\"input\"&&bv.test(a.type)?(b.defaultChecked=b.checked=a.checked,b.value!==a.value&&(b.value=a.value)):c===\"option\"?b.selected=a.defaultSelected:c===\"input\"||c===\"textarea\"?b.defaultValue=a.defaultValue:c===\"script\"&&b.text!==a.text&&(b.text=a.text),b.removeAttribute(p.expando)}function bF(a){return typeof a.getElementsByTagName!=\"undefined\"?a.getElementsByTagName(\"*\"):typeof a.querySelectorAll!=\"undefined\"?a.querySelectorAll(\"*\"):[]}function bG(a){bv.test(a.type)&&(a.defaultChecked=a.checked)}function bY(a,b){if(b in a)return b;var c=b.charAt(0).toUpperCase()+b.slice(1),d=b,e=bW.length;while(e--){b=bW[e]+c;if(b in a)return b}return d}function bZ(a,b){return a=b||a,p.css(a,\"display\")===\"none\"||!p.contains(a.ownerDocument,a)}function b$(a,b){var c,d,e=[],f=0,g=a.length;for(;f<g;f++){c=a[f];if(!c.style)continue;e[f]=p._data(c,\"olddisplay\"),b?(!e[f]&&c.style.display===\"none\"&&(c.style.display=\"\"),c.style.display===\"\"&&bZ(c)&&(e[f]=p._data(c,\"olddisplay\",cc(c.nodeName)))):(d=bH(c,\"display\"),!e[f]&&d!==\"none\"&&p._data(c,\"olddisplay\",d))}for(f=0;f<g;f++){c=a[f];if(!c.style)continue;if(!b||c.style.display===\"none\"||c.style.display===\"\")c.style.display=b?e[f]||\"\":\"none\"}return a}function b_(a,b,c){var d=bP.exec(b);return d?Math.max(0,d[1]-(c||0))+(d[2]||\"px\"):b}function ca(a,b,c,d){var e=c===(d?\"border\":\"content\")?4:b===\"width\"?1:0,f=0;for(;e<4;e+=2)c===\"margin\"&&(f+=p.css(a,c+bV[e],!0)),d?(c===\"content\"&&(f-=parseFloat(bH(a,\"padding\"+bV[e]))||0),c!==\"margin\"&&(f-=parseFloat(bH(a,\"border\"+bV[e]+\"Width\"))||0)):(f+=parseFloat(bH(a,\"padding\"+bV[e]))||0,c!==\"padding\"&&(f+=parseFloat(bH(a,\"border\"+bV[e]+\"Width\"))||0));return f}function cb(a,b,c){var d=b===\"width\"?a.offsetWidth:a.offsetHeight,e=!0,f=p.support.boxSizing&&p.css(a,\"boxSizing\")===\"border-box\";if(d<=0||d==null){d=bH(a,b);if(d<0||d==null)d=a.style[b];if(bQ.test(d))return d;e=f&&(p.support.boxSizingReliable||d===a.style[b]),d=parseFloat(d)||0}return d+ca(a,b,c||(f?\"border\":\"content\"),e)+\"px\"}function cc(a){if(bS[a])return bS[a];var b=p(\"<\"+a+\">\").appendTo(e.body),c=b.css(\"display\");b.remove();if(c===\"none\"||c===\"\"){bI=e.body.appendChild(bI||p.extend(e.createElement(\"iframe\"),{frameBorder:0,width:0,height:0}));if(!bJ||!bI.createElement)bJ=(bI.contentWindow||bI.contentDocument).document,bJ.write(\"<!doctype html><html><body>\"),bJ.close();b=bJ.body.appendChild(bJ.createElement(a)),c=bH(b,\"display\"),e.body.removeChild(bI)}return bS[a]=c,c}function ci(a,b,c,d){var e;if(p.isArray(b))p.each(b,function(b,e){c||ce.test(a)?d(a,e):ci(a+\"[\"+(typeof e==\"object\"?b:\"\")+\"]\",e,c,d)});else if(!c&&p.type(b)===\"object\")for(e in b)ci(a+\"[\"+e+\"]\",b[e],c,d);else d(a,b)}function cz(a){return function(b,c){typeof b!=\"string\"&&(c=b,b=\"*\");var d,e,f,g=b.toLowerCase().split(s),h=0,i=g.length;if(p.isFunction(c))for(;h<i;h++)d=g[h],f=/^\\+/.test(d),f&&(d=d.substr(1)||\"*\"),e=a[d]=a[d]||[],e[f?\"unshift\":\"push\"](c)}}function cA(a,c,d,e,f,g){f=f||c.dataTypes[0],g=g||{},g[f]=!0;var h,i=a[f],j=0,k=i?i.length:0,l=a===cv;for(;j<k&&(l||!h);j++)h=i[j](c,d,e),typeof h==\"string\"&&(!l||g[h]?h=b:(c.dataTypes.unshift(h),h=cA(a,c,d,e,h,g)));return(l||!h)&&!g[\"*\"]&&(h=cA(a,c,d,e,\"*\",g)),h}function cB(a,c){var d,e,f=p.ajaxSettings.flatOptions||{};for(d in c)c[d]!==b&&((f[d]?a:e||(e={}))[d]=c[d]);e&&p.extend(!0,a,e)}function cC(a,c,d){var e,f,g,h,i=a.contents,j=a.dataTypes,k=a.responseFields;for(f in k)f in d&&(c[k[f]]=d[f]);while(j[0]===\"*\")j.shift(),e===b&&(e=a.mimeType||c.getResponseHeader(\"content-type\"));if(e)for(f in i)if(i[f]&&i[f].test(e)){j.unshift(f);break}if(j[0]in d)g=j[0];else{for(f in d){if(!j[0]||a.converters[f+\" \"+j[0]]){g=f;break}h||(h=f)}g=g||h}if(g)return g!==j[0]&&j.unshift(g),d[g]}function cD(a,b){var c,d,e,f,g=a.dataTypes.slice(),h=g[0],i={},j=0;a.dataFilter&&(b=a.dataFilter(b,a.dataType));if(g[1])for(c in a.converters)i[c.toLowerCase()]=a.converters[c];for(;e=g[++j];)if(e!==\"*\"){if(h!==\"*\"&&h!==e){c=i[h+\" \"+e]||i[\"* \"+e];if(!c)for(d in i){f=d.split(\" \");if(f[1]===e){c=i[h+\" \"+f[0]]||i[\"* \"+f[0]];if(c){c===!0?c=i[d]:i[d]!==!0&&(e=f[0],g.splice(j--,0,e));break}}}if(c!==!0)if(c&&a[\"throws\"])b=c(b);else try{b=c(b)}catch(k){return{state:\"parsererror\",error:c?k:\"No conversion from \"+h+\" to \"+e}}}h=e}return{state:\"success\",data:b}}function cL(){try{return new a.XMLHttpRequest}catch(b){}}function cM(){try{return new a.ActiveXObject(\"Microsoft.XMLHTTP\")}catch(b){}}function cU(){return setTimeout(function(){cN=b},0),cN=p.now()}function cV(a,b){p.each(b,function(b,c){var d=(cT[b]||[]).concat(cT[\"*\"]),e=0,f=d.length;for(;e<f;e++)if(d[e].call(a,b,c))return})}function cW(a,b,c){var d,e=0,f=0,g=cS.length,h=p.Deferred().always(function(){delete i.elem}),i=function(){var b=cN||cU(),c=Math.max(0,j.startTime+j.duration-b),d=1-(c/j.duration||0),e=0,f=j.tweens.length;for(;e<f;e++)j.tweens[e].run(d);return h.notifyWith(a,[j,d,c]),d<1&&f?c:(h.resolveWith(a,[j]),!1)},j=h.promise({elem:a,props:p.extend({},b),opts:p.extend(!0,{specialEasing:{}},c),originalProperties:b,originalOptions:c,startTime:cN||cU(),duration:c.duration,tweens:[],createTween:function(b,c,d){var e=p.Tween(a,j.opts,b,c,j.opts.specialEasing[b]||j.opts.easing);return j.tweens.push(e),e},stop:function(b){var c=0,d=b?j.tweens.length:0;for(;c<d;c++)j.tweens[c].run(1);return b?h.resolveWith(a,[j,b]):h.rejectWith(a,[j,b]),this}}),k=j.props;cX(k,j.opts.specialEasing);for(;e<g;e++){d=cS[e].call(j,a,k,j.opts);if(d)return d}return cV(j,k),p.isFunction(j.opts.start)&&j.opts.start.call(a,j),p.fx.timer(p.extend(i,{anim:j,queue:j.opts.queue,elem:a})),j.progress(j.opts.progress).done(j.opts.done,j.opts.complete).fail(j.opts.fail).always(j.opts.always)}function cX(a,b){var c,d,e,f,g;for(c in a){d=p.camelCase(c),e=b[d],f=a[c],p.isArray(f)&&(e=f[1],f=a[c]=f[0]),c!==d&&(a[d]=f,delete a[c]),g=p.cssHooks[d];if(g&&\"expand\"in g){f=g.expand(f),delete a[d];for(c in f)c in a||(a[c]=f[c],b[c]=e)}else b[d]=e}}function cY(a,b,c){var d,e,f,g,h,i,j,k,l=this,m=a.style,n={},o=[],q=a.nodeType&&bZ(a);c.queue||(j=p._queueHooks(a,\"fx\"),j.unqueued==null&&(j.unqueued=0,k=j.empty.fire,j.empty.fire=function(){j.unqueued||k()}),j.unqueued++,l.always(function(){l.always(function(){j.unqueued--,p.queue(a,\"fx\").length||j.empty.fire()})})),a.nodeType===1&&(\"height\"in b||\"width\"in b)&&(c.overflow=[m.overflow,m.overflowX,m.overflowY],p.css(a,\"display\")===\"inline\"&&p.css(a,\"float\")===\"none\"&&(!p.support.inlineBlockNeedsLayout||cc(a.nodeName)===\"inline\"?m.display=\"inline-block\":m.zoom=1)),c.overflow&&(m.overflow=\"hidden\",p.support.shrinkWrapBlocks||l.done(function(){m.overflow=c.overflow[0],m.overflowX=c.overflow[1],m.overflowY=c.overflow[2]}));for(d in b){f=b[d];if(cP.exec(f)){delete b[d];if(f===(q?\"hide\":\"show\"))continue;o.push(d)}}g=o.length;if(g){h=p._data(a,\"fxshow\")||p._data(a,\"fxshow\",{}),q?p(a).show():l.done(function(){p(a).hide()}),l.done(function(){var b;p.removeData(a,\"fxshow\",!0);for(b in n)p.style(a,b,n[b])});for(d=0;d<g;d++)e=o[d],i=l.createTween(e,q?h[e]:0),n[e]=h[e]||p.style(a,e),e in h||(h[e]=i.start,q&&(i.end=i.start,i.start=e===\"width\"||e===\"height\"?1:0))}}function cZ(a,b,c,d,e){return new cZ.prototype.init(a,b,c,d,e)}function c$(a,b){var c,d={height:a},e=0;b=b?1:0;for(;e<4;e+=2-b)c=bV[e],d[\"margin\"+c]=d[\"padding\"+c]=a;return b&&(d.opacity=d.width=a),d}function da(a){return p.isWindow(a)?a:a.nodeType===9?a.defaultView||a.parentWindow:!1}var c,d,e=a.document,f=a.location,g=a.navigator,h=a.jQuery,i=a.$,j=Array.prototype.push,k=Array.prototype.slice,l=Array.prototype.indexOf,m=Object.prototype.toString,n=Object.prototype.hasOwnProperty,o=String.prototype.trim,p=function(a,b){return new p.fn.init(a,b,c)},q=/[\\-+]?(?:\\d*\\.|)\\d+(?:[eE][\\-+]?\\d+|)/.source,r=/\\S/,s=/\\s+/,t=/^[\\s\\uFEFF\\xA0]+|[\\s\\uFEFF\\xA0]+$/g,u=/^(?:[^#<]*(<[\\w\\W]+>)[^>]*$|#([\\w\\-]*)$)/,v=/^<(\\w+)\\s*\\/?>(?:<\\/\\1>|)$/,w=/^[\\],:{}\\s]*$/,x=/(?:^|:|,)(?:\\s*\\[)+/g,y=/\\\\(?:[\"\\\\\\/bfnrt]|u[\\da-fA-F]{4})/g,z=/\"[^\"\\\\\\r\\n]*\"|true|false|null|-?(?:\\d\\d*\\.|)\\d+(?:[eE][\\-+]?\\d+|)/g,A=/^-ms-/,B=/-([\\da-z])/gi,C=function(a,b){return(b+\"\").toUpperCase()},D=function(){e.addEventListener?(e.removeEventListener(\"DOMContentLoaded\",D,!1),p.ready()):e.readyState===\"complete\"&&(e.detachEvent(\"onreadystatechange\",D),p.ready())},E={};p.fn=p.prototype={constructor:p,init:function(a,c,d){var f,g,h,i;if(!a)return this;if(a.nodeType)return this.context=this[0]=a,this.length=1,this;if(typeof a==\"string\"){a.charAt(0)===\"<\"&&a.charAt(a.length-1)===\">\"&&a.length>=3?f=[null,a,null]:f=u.exec(a);if(f&&(f[1]||!c)){if(f[1])return c=c instanceof p?c[0]:c,i=c&&c.nodeType?c.ownerDocument||c:e,a=p.parseHTML(f[1],i,!0),v.test(f[1])&&p.isPlainObject(c)&&this.attr.call(a,c,!0),p.merge(this,a);g=e.getElementById(f[2]);if(g&&g.parentNode){if(g.id!==f[2])return d.find(a);this.length=1,this[0]=g}return this.context=e,this.selector=a,this}return!c||c.jquery?(c||d).find(a):this.constructor(c).find(a)}return p.isFunction(a)?d.ready(a):(a.selector!==b&&(this.selector=a.selector,this.context=a.context),p.makeArray(a,this))},selector:\"\",jquery:\"1.8.2\",length:0,size:function(){return this.length},toArray:function(){return k.call(this)},get:function(a){return a==null?this.toArray():a<0?this[this.length+a]:this[a]},pushStack:function(a,b,c){var d=p.merge(this.constructor(),a);return d.prevObject=this,d.context=this.context,b===\"find\"?d.selector=this.selector+(this.selector?\" \":\"\")+c:b&&(d.selector=this.selector+\".\"+b+\"(\"+c+\")\"),d},each:function(a,b){return p.each(this,a,b)},ready:function(a){return p.ready.promise().done(a),this},eq:function(a){return a=+a,a===-1?this.slice(a):this.slice(a,a+1)},first:function(){return this.eq(0)},last:function(){return this.eq(-1)},slice:function(){return this.pushStack(k.apply(this,arguments),\"slice\",k.call(arguments).join(\",\"))},map:function(a){return this.pushStack(p.map(this,function(b,c){return a.call(b,c,b)}))},end:function(){return this.prevObject||this.constructor(null)},push:j,sort:[].sort,splice:[].splice},p.fn.init.prototype=p.fn,p.extend=p.fn.extend=function(){var a,c,d,e,f,g,h=arguments[0]||{},i=1,j=arguments.length,k=!1;typeof h==\"boolean\"&&(k=h,h=arguments[1]||{},i=2),typeof h!=\"object\"&&!p.isFunction(h)&&(h={}),j===i&&(h=this,--i);for(;i<j;i++)if((a=arguments[i])!=null)for(c in a){d=h[c],e=a[c];if(h===e)continue;k&&e&&(p.isPlainObject(e)||(f=p.isArray(e)))?(f?(f=!1,g=d&&p.isArray(d)?d:[]):g=d&&p.isPlainObject(d)?d:{},h[c]=p.extend(k,g,e)):e!==b&&(h[c]=e)}return h},p.extend({noConflict:function(b){return a.$===p&&(a.$=i),b&&a.jQuery===p&&(a.jQuery=h),p},isReady:!1,readyWait:1,holdReady:function(a){a?p.readyWait++:p.ready(!0)},ready:function(a){if(a===!0?--p.readyWait:p.isReady)return;if(!e.body)return setTimeout(p.ready,1);p.isReady=!0;if(a!==!0&&--p.readyWait>0)return;d.resolveWith(e,[p]),p.fn.trigger&&p(e).trigger(\"ready\").off(\"ready\")},isFunction:function(a){return p.type(a)===\"function\"},isArray:Array.isArray||function(a){return p.type(a)===\"array\"},isWindow:function(a){return a!=null&&a==a.window},isNumeric:function(a){return!isNaN(parseFloat(a))&&isFinite(a)},type:function(a){return a==null?String(a):E[m.call(a)]||\"object\"},isPlainObject:function(a){if(!a||p.type(a)!==\"object\"||a.nodeType||p.isWindow(a))return!1;try{if(a.constructor&&!n.call(a,\"constructor\")&&!n.call(a.constructor.prototype,\"isPrototypeOf\"))return!1}catch(c){return!1}var d;for(d in a);return d===b||n.call(a,d)},isEmptyObject:function(a){var b;for(b in a)return!1;return!0},error:function(a){throw new Error(a)},parseHTML:function(a,b,c){var d;return!a||typeof a!=\"string\"?null:(typeof b==\"boolean\"&&(c=b,b=0),b=b||e,(d=v.exec(a))?[b.createElement(d[1])]:(d=p.buildFragment([a],b,c?null:[]),p.merge([],(d.cacheable?p.clone(d.fragment):d.fragment).childNodes)))},parseJSON:function(b){if(!b||typeof b!=\"string\")return null;b=p.trim(b);if(a.JSON&&a.JSON.parse)return a.JSON.parse(b);if(w.test(b.replace(y,\"@\").replace(z,\"]\").replace(x,\"\")))return(new Function(\"return \"+b))();p.error(\"Invalid JSON: \"+b)},parseXML:function(c){var d,e;if(!c||typeof c!=\"string\")return null;try{a.DOMParser?(e=new DOMParser,d=e.parseFromString(c,\"text/xml\")):(d=new ActiveXObject(\"Microsoft.XMLDOM\"),d.async=\"false\",d.loadXML(c))}catch(f){d=b}return(!d||!d.documentElement||d.getElementsByTagName(\"parsererror\").length)&&p.error(\"Invalid XML: \"+c),d},noop:function(){},globalEval:function(b){b&&r.test(b)&&(a.execScript||function(b){a.eval.call(a,b)})(b)},camelCase:function(a){return a.replace(A,\"ms-\").replace(B,C)},nodeName:function(a,b){return a.nodeName&&a.nodeName.toLowerCase()===b.toLowerCase()},each:function(a,c,d){var e,f=0,g=a.length,h=g===b||p.isFunction(a);if(d){if(h){for(e in a)if(c.apply(a[e],d)===!1)break}else for(;f<g;)if(c.apply(a[f++],d)===!1)break}else if(h){for(e in a)if(c.call(a[e],e,a[e])===!1)break}else for(;f<g;)if(c.call(a[f],f,a[f++])===!1)break;return a},trim:o&&!o.call(\" \")?function(a){return a==null?\"\":o.call(a)}:function(a){return a==null?\"\":(a+\"\").replace(t,\"\")},makeArray:function(a,b){var c,d=b||[];return a!=null&&(c=p.type(a),a.length==null||c===\"string\"||c===\"function\"||c===\"regexp\"||p.isWindow(a)?j.call(d,a):p.merge(d,a)),d},inArray:function(a,b,c){var d;if(b){if(l)return l.call(b,a,c);d=b.length,c=c?c<0?Math.max(0,d+c):c:0;for(;c<d;c++)if(c in b&&b[c]===a)return c}return-1},merge:function(a,c){var d=c.length,e=a.length,f=0;if(typeof d==\"number\")for(;f<d;f++)a[e++]=c[f];else while(c[f]!==b)a[e++]=c[f++];return a.length=e,a},grep:function(a,b,c){var d,e=[],f=0,g=a.length;c=!!c;for(;f<g;f++)d=!!b(a[f],f),c!==d&&e.push(a[f]);return e},map:function(a,c,d){var e,f,g=[],h=0,i=a.length,j=a instanceof p||i!==b&&typeof i==\"number\"&&(i>0&&a[0]&&a[i-1]||i===0||p.isArray(a));if(j)for(;h<i;h++)e=c(a[h],h,d),e!=null&&(g[g.length]=e);else for(f in a)e=c(a[f],f,d),e!=null&&(g[g.length]=e);return g.concat.apply([],g)},guid:1,proxy:function(a,c){var d,e,f;return typeof c==\"string\"&&(d=a[c],c=a,a=d),p.isFunction(a)?(e=k.call(arguments,2),f=function(){return a.apply(c,e.concat(k.call(arguments)))},f.guid=a.guid=a.guid||p.guid++,f):b},access:function(a,c,d,e,f,g,h){var i,j=d==null,k=0,l=a.length;if(d&&typeof d==\"object\"){for(k in d)p.access(a,c,k,d[k],1,g,e);f=1}else if(e!==b){i=h===b&&p.isFunction(e),j&&(i?(i=c,c=function(a,b,c){return i.call(p(a),c)}):(c.call(a,e),c=null));if(c)for(;k<l;k++)c(a[k],d,i?e.call(a[k],k,c(a[k],d)):e,h);f=1}return f?a:j?c.call(a):l?c(a[0],d):g},now:function(){return(new Date).getTime()}}),p.ready.promise=function(b){if(!d){d=p.Deferred();if(e.readyState===\"complete\")setTimeout(p.ready,1);else if(e.addEventListener)e.addEventListener(\"DOMContentLoaded\",D,!1),a.addEventListener(\"load\",p.ready,!1);else{e.attachEvent(\"onreadystatechange\",D),a.attachEvent(\"onload\",p.ready);var c=!1;try{c=a.frameElement==null&&e.documentElement}catch(f){}c&&c.doScroll&&function g(){if(!p.isReady){try{c.doScroll(\"left\")}catch(a){return setTimeout(g,50)}p.ready()}}()}}return d.promise(b)},p.each(\"Boolean Number String Function Array Date RegExp Object\".split(\" \"),function(a,b){E[\"[object \"+b+\"]\"]=b.toLowerCase()}),c=p(e);var F={};p.Callbacks=function(a){a=typeof a==\"string\"?F[a]||G(a):p.extend({},a);var c,d,e,f,g,h,i=[],j=!a.once&&[],k=function(b){c=a.memory&&b,d=!0,h=f||0,f=0,g=i.length,e=!0;for(;i&&h<g;h++)if(i[h].apply(b[0],b[1])===!1&&a.stopOnFalse){c=!1;break}e=!1,i&&(j?j.length&&k(j.shift()):c?i=[]:l.disable())},l={add:function(){if(i){var b=i.length;(function d(b){p.each(b,function(b,c){var e=p.type(c);e===\"function\"&&(!a.unique||!l.has(c))?i.push(c):c&&c.length&&e!==\"string\"&&d(c)})})(arguments),e?g=i.length:c&&(f=b,k(c))}return this},remove:function(){return i&&p.each(arguments,function(a,b){var c;while((c=p.inArray(b,i,c))>-1)i.splice(c,1),e&&(c<=g&&g--,c<=h&&h--)}),this},has:function(a){return p.inArray(a,i)>-1},empty:function(){return i=[],this},disable:function(){return i=j=c=b,this},disabled:function(){return!i},lock:function(){return j=b,c||l.disable(),this},locked:function(){return!j},fireWith:function(a,b){return b=b||[],b=[a,b.slice?b.slice():b],i&&(!d||j)&&(e?j.push(b):k(b)),this},fire:function(){return l.fireWith(this,arguments),this},fired:function(){return!!d}};return l},p.extend({Deferred:function(a){var b=[[\"resolve\",\"done\",p.Callbacks(\"once memory\"),\"resolved\"],[\"reject\",\"fail\",p.Callbacks(\"once memory\"),\"rejected\"],[\"notify\",\"progress\",p.Callbacks(\"memory\")]],c=\"pending\",d={state:function(){return c},always:function(){return e.done(arguments).fail(arguments),this},then:function(){var a=arguments;return p.Deferred(function(c){p.each(b,function(b,d){var f=d[0],g=a[b];e[d[1]](p.isFunction(g)?function(){var a=g.apply(this,arguments);a&&p.isFunction(a.promise)?a.promise().done(c.resolve).fail(c.reject).progress(c.notify):c[f+\"With\"](this===e?c:this,[a])}:c[f])}),a=null}).promise()},promise:function(a){return a!=null?p.extend(a,d):d}},e={};return d.pipe=d.then,p.each(b,function(a,f){var g=f[2],h=f[3];d[f[1]]=g.add,h&&g.add(function(){c=h},b[a^1][2].disable,b[2][2].lock),e[f[0]]=g.fire,e[f[0]+\"With\"]=g.fireWith}),d.promise(e),a&&a.call(e,e),e},when:function(a){var b=0,c=k.call(arguments),d=c.length,e=d!==1||a&&p.isFunction(a.promise)?d:0,f=e===1?a:p.Deferred(),g=function(a,b,c){return function(d){b[a]=this,c[a]=arguments.length>1?k.call(arguments):d,c===h?f.notifyWith(b,c):--e||f.resolveWith(b,c)}},h,i,j;if(d>1){h=new Array(d),i=new Array(d),j=new Array(d);for(;b<d;b++)c[b]&&p.isFunction(c[b].promise)?c[b].promise().done(g(b,j,c)).fail(f.reject).progress(g(b,i,h)):--e}return e||f.resolveWith(j,c),f.promise()}}),p.support=function(){var b,c,d,f,g,h,i,j,k,l,m,n=e.createElement(\"div\");n.setAttribute(\"className\",\"t\"),n.innerHTML=\" <link/><table></table><a href='/a'>a</a><input type='checkbox'/>\",c=n.getElementsByTagName(\"*\"),d=n.getElementsByTagName(\"a\")[0],d.style.cssText=\"top:1px;float:left;opacity:.5\";if(!c||!c.length)return{};f=e.createElement(\"select\"),g=f.appendChild(e.createElement(\"option\")),h=n.getElementsByTagName(\"input\")[0],b={leadingWhitespace:n.firstChild.nodeType===3,tbody:!n.getElementsByTagName(\"tbody\").length,htmlSerialize:!!n.getElementsByTagName(\"link\").length,style:/top/.test(d.getAttribute(\"style\")),hrefNormalized:d.getAttribute(\"href\")===\"/a\",opacity:/^0.5/.test(d.style.opacity),cssFloat:!!d.style.cssFloat,checkOn:h.value===\"on\",optSelected:g.selected,getSetAttribute:n.className!==\"t\",enctype:!!e.createElement(\"form\").enctype,html5Clone:e.createElement(\"nav\").cloneNode(!0).outerHTML!==\"<:nav></:nav>\",boxModel:e.compatMode===\"CSS1Compat\",submitBubbles:!0,changeBubbles:!0,focusinBubbles:!1,deleteExpando:!0,noCloneEvent:!0,inlineBlockNeedsLayout:!1,shrinkWrapBlocks:!1,reliableMarginRight:!0,boxSizingReliable:!0,pixelPosition:!1},h.checked=!0,b.noCloneChecked=h.cloneNode(!0).checked,f.disabled=!0,b.optDisabled=!g.disabled;try{delete n.test}catch(o){b.deleteExpando=!1}!n.addEventListener&&n.attachEvent&&n.fireEvent&&(n.attachEvent(\"onclick\",m=function(){b.noCloneEvent=!1}),n.cloneNode(!0).fireEvent(\"onclick\"),n.detachEvent(\"onclick\",m)),h=e.createElement(\"input\"),h.value=\"t\",h.setAttribute(\"type\",\"radio\"),b.radioValue=h.value===\"t\",h.setAttribute(\"checked\",\"checked\"),h.setAttribute(\"name\",\"t\"),n.appendChild(h),i=e.createDocumentFragment(),i.appendChild(n.lastChild),b.checkClone=i.cloneNode(!0).cloneNode(!0).lastChild.checked,b.appendChecked=h.checked,i.removeChild(h),i.appendChild(n);if(n.attachEvent)for(k in{submit:!0,change:!0,focusin:!0})j=\"on\"+k,l=j in n,l||(n.setAttribute(j,\"return;\"),l=typeof n[j]==\"function\"),b[k+\"Bubbles\"]=l;return p(function(){var c,d,f,g,h=\"padding:0;margin:0;border:0;display:block;overflow:hidden;\",i=e.getElementsByTagName(\"body\")[0];if(!i)return;c=e.createElement(\"div\"),c.style.cssText=\"visibility:hidden;border:0;width:0;height:0;position:static;top:0;margin-top:1px\",i.insertBefore(c,i.firstChild),d=e.createElement(\"div\"),c.appendChild(d),d.innerHTML=\"<table><tr><td></td><td>t</td></tr></table>\",f=d.getElementsByTagName(\"td\"),f[0].style.cssText=\"padding:0;margin:0;border:0;display:none\",l=f[0].offsetHeight===0,f[0].style.display=\"\",f[1].style.display=\"none\",b.reliableHiddenOffsets=l&&f[0].offsetHeight===0,d.innerHTML=\"\",d.style.cssText=\"box-sizing:border-box;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;padding:1px;border:1px;display:block;width:4px;margin-top:1%;position:absolute;top:1%;\",b.boxSizing=d.offsetWidth===4,b.doesNotIncludeMarginInBodyOffset=i.offsetTop!==1,a.getComputedStyle&&(b.pixelPosition=(a.getComputedStyle(d,null)||{}).top!==\"1%\",b.boxSizingReliable=(a.getComputedStyle(d,null)||{width:\"4px\"}).width===\"4px\",g=e.createElement(\"div\"),g.style.cssText=d.style.cssText=h,g.style.marginRight=g.style.width=\"0\",d.style.width=\"1px\",d.appendChild(g),b.reliableMarginRight=!parseFloat((a.getComputedStyle(g,null)||{}).marginRight)),typeof d.style.zoom!=\"undefined\"&&(d.innerHTML=\"\",d.style.cssText=h+\"width:1px;padding:1px;display:inline;zoom:1\",b.inlineBlockNeedsLayout=d.offsetWidth===3,d.style.display=\"block\",d.style.overflow=\"visible\",d.innerHTML=\"<div></div>\",d.firstChild.style.width=\"5px\",b.shrinkWrapBlocks=d.offsetWidth!==3,c.style.zoom=1),i.removeChild(c),c=d=f=g=null}),i.removeChild(n),c=d=f=g=h=i=n=null,b}();var H=/(?:\\{[\\s\\S]*\\}|\\[[\\s\\S]*\\])$/,I=/([A-Z])/g;p.extend({cache:{},deletedIds:[],uuid:0,expando:\"jQuery\"+(p.fn.jquery+Math.random()).replace(/\\D/g,\"\"),noData:{embed:!0,object:\"clsid:D27CDB6E-AE6D-11cf-96B8-444553540000\",applet:!0},hasData:function(a){return a=a.nodeType?p.cache[a[p.expando]]:a[p.expando],!!a&&!K(a)},data:function(a,c,d,e){if(!p.acceptData(a))return;var f,g,h=p.expando,i=typeof c==\"string\",j=a.nodeType,k=j?p.cache:a,l=j?a[h]:a[h]&&h;if((!l||!k[l]||!e&&!k[l].data)&&i&&d===b)return;l||(j?a[h]=l=p.deletedIds.pop()||p.guid++:l=h),k[l]||(k[l]={},j||(k[l].toJSON=p.noop));if(typeof c==\"object\"||typeof c==\"function\")e?k[l]=p.extend(k[l],c):k[l].data=p.extend(k[l].data,c);return f=k[l],e||(f.data||(f.data={}),f=f.data),d!==b&&(f[p.camelCase(c)]=d),i?(g=f[c],g==null&&(g=f[p.camelCase(c)])):g=f,g},removeData:function(a,b,c){if(!p.acceptData(a))return;var d,e,f,g=a.nodeType,h=g?p.cache:a,i=g?a[p.expando]:p.expando;if(!h[i])return;if(b){d=c?h[i]:h[i].data;if(d){p.isArray(b)||(b in d?b=[b]:(b=p.camelCase(b),b in d?b=[b]:b=b.split(\" \")));for(e=0,f=b.length;e<f;e++)delete d[b[e]];if(!(c?K:p.isEmptyObject)(d))return}}if(!c){delete h[i].data;if(!K(h[i]))return}g?p.cleanData([a],!0):p.support.deleteExpando||h!=h.window?delete h[i]:h[i]=null},_data:function(a,b,c){return p.data(a,b,c,!0)},acceptData:function(a){var b=a.nodeName&&p.noData[a.nodeName.toLowerCase()];return!b||b!==!0&&a.getAttribute(\"classid\")===b}}),p.fn.extend({data:function(a,c){var d,e,f,g,h,i=this[0],j=0,k=null;if(a===b){if(this.length){k=p.data(i);if(i.nodeType===1&&!p._data(i,\"parsedAttrs\")){f=i.attributes;for(h=f.length;j<h;j++)g=f[j].name,g.indexOf(\"data-\")||(g=p.camelCase(g.substring(5)),J(i,g,k[g]));p._data(i,\"parsedAttrs\",!0)}}return k}return typeof a==\"object\"?this.each(function(){p.data(this,a)}):(d=a.split(\".\",2),d[1]=d[1]?\".\"+d[1]:\"\",e=d[1]+\"!\",p.access(this,function(c){if(c===b)return k=this.triggerHandler(\"getData\"+e,[d[0]]),k===b&&i&&(k=p.data(i,a),k=J(i,a,k)),k===b&&d[1]?this.data(d[0]):k;d[1]=c,this.each(function(){var b=p(this);b.triggerHandler(\"setData\"+e,d),p.data(this,a,c),b.triggerHandler(\"changeData\"+e,d)})},null,c,arguments.length>1,null,!1))},removeData:function(a){return this.each(function(){p.removeData(this,a)})}}),p.extend({queue:function(a,b,c){var d;if(a)return b=(b||\"fx\")+\"queue\",d=p._data(a,b),c&&(!d||p.isArray(c)?d=p._data(a,b,p.makeArray(c)):d.push(c)),d||[]},dequeue:function(a,b){b=b||\"fx\";var c=p.queue(a,b),d=c.length,e=c.shift(),f=p._queueHooks(a,b),g=function(){p.dequeue(a,b)};e===\"inprogress\"&&(e=c.shift(),d--),e&&(b===\"fx\"&&c.unshift(\"inprogress\"),delete f.stop,e.call(a,g,f)),!d&&f&&f.empty.fire()},_queueHooks:function(a,b){var c=b+\"queueHooks\";return p._data(a,c)||p._data(a,c,{empty:p.Callbacks(\"once memory\").add(function(){p.removeData(a,b+\"queue\",!0),p.removeData(a,c,!0)})})}}),p.fn.extend({queue:function(a,c){var d=2;return typeof a!=\"string\"&&(c=a,a=\"fx\",d--),arguments.length<d?p.queue(this[0],a):c===b?this:this.each(function(){var b=p.queue(this,a,c);p._queueHooks(this,a),a===\"fx\"&&b[0]!==\"inprogress\"&&p.dequeue(this,a)})},dequeue:function(a){return this.each(function(){p.dequeue(this,a)})},delay:function(a,b){return a=p.fx?p.fx.speeds[a]||a:a,b=b||\"fx\",this.queue(b,function(b,c){var d=setTimeout(b,a);c.stop=function(){clearTimeout(d)}})},clearQueue:function(a){return this.queue(a||\"fx\",[])},promise:function(a,c){var d,e=1,f=p.Deferred(),g=this,h=this.length,i=function(){--e||f.resolveWith(g,[g])};typeof a!=\"string\"&&(c=a,a=b),a=a||\"fx\";while(h--)d=p._data(g[h],a+\"queueHooks\"),d&&d.empty&&(e++,d.empty.add(i));return i(),f.promise(c)}});var L,M,N,O=/[\\t\\r\\n]/g,P=/\\r/g,Q=/^(?:button|input)$/i,R=/^(?:button|input|object|select|textarea)$/i,S=/^a(?:rea|)$/i,T=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,U=p.support.getSetAttribute;p.fn.extend({attr:function(a,b){return p.access(this,p.attr,a,b,arguments.length>1)},removeAttr:function(a){return this.each(function(){p.removeAttr(this,a)})},prop:function(a,b){return p.access(this,p.prop,a,b,arguments.length>1)},removeProp:function(a){return a=p.propFix[a]||a,this.each(function(){try{this[a]=b,delete this[a]}catch(c){}})},addClass:function(a){var b,c,d,e,f,g,h;if(p.isFunction(a))return this.each(function(b){p(this).addClass(a.call(this,b,this.className))});if(a&&typeof a==\"string\"){b=a.split(s);for(c=0,d=this.length;c<d;c++){e=this[c];if(e.nodeType===1)if(!e.className&&b.length===1)e.className=a;else{f=\" \"+e.className+\" \";for(g=0,h=b.length;g<h;g++)f.indexOf(\" \"+b[g]+\" \")<0&&(f+=b[g]+\" \");e.className=p.trim(f)}}}return this},removeClass:function(a){var c,d,e,f,g,h,i;if(p.isFunction(a))return this.each(function(b){p(this).removeClass(a.call(this,b,this.className))});if(a&&typeof a==\"string\"||a===b){c=(a||\"\").split(s);for(h=0,i=this.length;h<i;h++){e=this[h];if(e.nodeType===1&&e.className){d=(\" \"+e.className+\" \").replace(O,\" \");for(f=0,g=c.length;f<g;f++)while(d.indexOf(\" \"+c[f]+\" \")>=0)d=d.replace(\" \"+c[f]+\" \",\" \");e.className=a?p.trim(d):\"\"}}}return this},toggleClass:function(a,b){var c=typeof a,d=typeof b==\"boolean\";return p.isFunction(a)?this.each(function(c){p(this).toggleClass(a.call(this,c,this.className,b),b)}):this.each(function(){if(c===\"string\"){var e,f=0,g=p(this),h=b,i=a.split(s);while(e=i[f++])h=d?h:!g.hasClass(e),g[h?\"addClass\":\"removeClass\"](e)}else if(c===\"undefined\"||c===\"boolean\")this.className&&p._data(this,\"__className__\",this.className),this.className=this.className||a===!1?\"\":p._data(this,\"__className__\")||\"\"})},hasClass:function(a){var b=\" \"+a+\" \",c=0,d=this.length;for(;c<d;c++)if(this[c].nodeType===1&&(\" \"+this[c].className+\" \").replace(O,\" \").indexOf(b)>=0)return!0;return!1},val:function(a){var c,d,e,f=this[0];if(!arguments.length){if(f)return c=p.valHooks[f.type]||p.valHooks[f.nodeName.toLowerCase()],c&&\"get\"in c&&(d=c.get(f,\"value\"))!==b?d:(d=f.value,typeof d==\"string\"?d.replace(P,\"\"):d==null?\"\":d);return}return e=p.isFunction(a),this.each(function(d){var f,g=p(this);if(this.nodeType!==1)return;e?f=a.call(this,d,g.val()):f=a,f==null?f=\"\":typeof f==\"number\"?f+=\"\":p.isArray(f)&&(f=p.map(f,function(a){return a==null?\"\":a+\"\"})),c=p.valHooks[this.type]||p.valHooks[this.nodeName.toLowerCase()];if(!c||!(\"set\"in c)||c.set(this,f,\"value\")===b)this.value=f})}}),p.extend({valHooks:{option:{get:function(a){var b=a.attributes.value;return!b||b.specified?a.value:a.text}},select:{get:function(a){var b,c,d,e,f=a.selectedIndex,g=[],h=a.options,i=a.type===\"select-one\";if(f<0)return null;c=i?f:0,d=i?f+1:h.length;for(;c<d;c++){e=h[c];if(e.selected&&(p.support.optDisabled?!e.disabled:e.getAttribute(\"disabled\")===null)&&(!e.parentNode.disabled||!p.nodeName(e.parentNode,\"optgroup\"))){b=p(e).val();if(i)return b;g.push(b)}}return i&&!g.length&&h.length?p(h[f]).val():g},set:function(a,b){var c=p.makeArray(b);return p(a).find(\"option\").each(function(){this.selected=p.inArray(p(this).val(),c)>=0}),c.length||(a.selectedIndex=-1),c}}},attrFn:{},attr:function(a,c,d,e){var f,g,h,i=a.nodeType;if(!a||i===3||i===8||i===2)return;if(e&&p.isFunction(p.fn[c]))return p(a)[c](d);if(typeof a.getAttribute==\"undefined\")return p.prop(a,c,d);h=i!==1||!p.isXMLDoc(a),h&&(c=c.toLowerCase(),g=p.attrHooks[c]||(T.test(c)?M:L));if(d!==b){if(d===null){p.removeAttr(a,c);return}return g&&\"set\"in g&&h&&(f=g.set(a,d,c))!==b?f:(a.setAttribute(c,d+\"\"),d)}return g&&\"get\"in g&&h&&(f=g.get(a,c))!==null?f:(f=a.getAttribute(c),f===null?b:f)},removeAttr:function(a,b){var c,d,e,f,g=0;if(b&&a.nodeType===1){d=b.split(s);for(;g<d.length;g++)e=d[g],e&&(c=p.propFix[e]||e,f=T.test(e),f||p.attr(a,e,\"\"),a.removeAttribute(U?e:c),f&&c in a&&(a[c]=!1))}},attrHooks:{type:{set:function(a,b){if(Q.test(a.nodeName)&&a.parentNode)p.error(\"type property can't be changed\");else if(!p.support.radioValue&&b===\"radio\"&&p.nodeName(a,\"input\")){var c=a.value;return a.setAttribute(\"type\",b),c&&(a.value=c),b}}},value:{get:function(a,b){return L&&p.nodeName(a,\"button\")?L.get(a,b):b in a?a.value:null},set:function(a,b,c){if(L&&p.nodeName(a,\"button\"))return L.set(a,b,c);a.value=b}}},propFix:{tabindex:\"tabIndex\",readonly:\"readOnly\",\"for\":\"htmlFor\",\"class\":\"className\",maxlength:\"maxLength\",cellspacing:\"cellSpacing\",cellpadding:\"cellPadding\",rowspan:\"rowSpan\",colspan:\"colSpan\",usemap:\"useMap\",frameborder:\"frameBorder\",contenteditable:\"contentEditable\"},prop:function(a,c,d){var e,f,g,h=a.nodeType;if(!a||h===3||h===8||h===2)return;return g=h!==1||!p.isXMLDoc(a),g&&(c=p.propFix[c]||c,f=p.propHooks[c]),d!==b?f&&\"set\"in f&&(e=f.set(a,d,c))!==b?e:a[c]=d:f&&\"get\"in f&&(e=f.get(a,c))!==null?e:a[c]},propHooks:{tabIndex:{get:function(a){var c=a.getAttributeNode(\"tabindex\");return c&&c.specified?parseInt(c.value,10):R.test(a.nodeName)||S.test(a.nodeName)&&a.href?0:b}}}}),M={get:function(a,c){var d,e=p.prop(a,c);return e===!0||typeof e!=\"boolean\"&&(d=a.getAttributeNode(c))&&d.nodeValue!==!1?c.toLowerCase():b},set:function(a,b,c){var d;return b===!1?p.removeAttr(a,c):(d=p.propFix[c]||c,d in a&&(a[d]=!0),a.setAttribute(c,c.toLowerCase())),c}},U||(N={name:!0,id:!0,coords:!0},L=p.valHooks.button={get:function(a,c){var d;return d=a.getAttributeNode(c),d&&(N[c]?d.value!==\"\":d.specified)?d.value:b},set:function(a,b,c){var d=a.getAttributeNode(c);return d||(d=e.createAttribute(c),a.setAttributeNode(d)),d.value=b+\"\"}},p.each([\"width\",\"height\"],function(a,b){p.attrHooks[b]=p.extend(p.attrHooks[b],{set:function(a,c){if(c===\"\")return a.setAttribute(b,\"auto\"),c}})}),p.attrHooks.contenteditable={get:L.get,set:function(a,b,c){b===\"\"&&(b=\"false\"),L.set(a,b,c)}}),p.support.hrefNormalized||p.each([\"href\",\"src\",\"width\",\"height\"],function(a,c){p.attrHooks[c]=p.extend(p.attrHooks[c],{get:function(a){var d=a.getAttribute(c,2);return d===null?b:d}})}),p.support.style||(p.attrHooks.style={get:function(a){return a.style.cssText.toLowerCase()||b},set:function(a,b){return a.style.cssText=b+\"\"}}),p.support.optSelected||(p.propHooks.selected=p.extend(p.propHooks.selected,{get:function(a){var b=a.parentNode;return b&&(b.selectedIndex,b.parentNode&&b.parentNode.selectedIndex),null}})),p.support.enctype||(p.propFix.enctype=\"encoding\"),p.support.checkOn||p.each([\"radio\",\"checkbox\"],function(){p.valHooks[this]={get:function(a){return a.getAttribute(\"value\")===null?\"on\":a.value}}}),p.each([\"radio\",\"checkbox\"],function(){p.valHooks[this]=p.extend(p.valHooks[this],{set:function(a,b){if(p.isArray(b))return a.checked=p.inArray(p(a).val(),b)>=0}})});var V=/^(?:textarea|input|select)$/i,W=/^([^\\.]*|)(?:\\.(.+)|)$/,X=/(?:^|\\s)hover(\\.\\S+|)\\b/,Y=/^key/,Z=/^(?:mouse|contextmenu)|click/,$=/^(?:focusinfocus|focusoutblur)$/,_=function(a){return p.event.special.hover?a:a.replace(X,\"mouseenter$1 mouseleave$1\")};p.event={add:function(a,c,d,e,f){var g,h,i,j,k,l,m,n,o,q,r;if(a.nodeType===3||a.nodeType===8||!c||!d||!(g=p._data(a)))return;d.handler&&(o=d,d=o.handler,f=o.selector),d.guid||(d.guid=p.guid++),i=g.events,i||(g.events=i={}),h=g.handle,h||(g.handle=h=function(a){return typeof p!=\"undefined\"&&(!a||p.event.triggered!==a.type)?p.event.dispatch.apply(h.elem,arguments):b},h.elem=a),c=p.trim(_(c)).split(\" \");for(j=0;j<c.length;j++){k=W.exec(c[j])||[],l=k[1],m=(k[2]||\"\").split(\".\").sort(),r=p.event.special[l]||{},l=(f?r.delegateType:r.bindType)||l,r=p.event.special[l]||{},n=p.extend({type:l,origType:k[1],data:e,handler:d,guid:d.guid,selector:f,needsContext:f&&p.expr.match.needsContext.test(f),namespace:m.join(\".\")},o),q=i[l];if(!q){q=i[l]=[],q.delegateCount=0;if(!r.setup||r.setup.call(a,e,m,h)===!1)a.addEventListener?a.addEventListener(l,h,!1):a.attachEvent&&a.attachEvent(\"on\"+l,h)}r.add&&(r.add.call(a,n),n.handler.guid||(n.handler.guid=d.guid)),f?q.splice(q.delegateCount++,0,n):q.push(n),p.event.global[l]=!0}a=null},global:{},remove:function(a,b,c,d,e){var f,g,h,i,j,k,l,m,n,o,q,r=p.hasData(a)&&p._data(a);if(!r||!(m=r.events))return;b=p.trim(_(b||\"\")).split(\" \");for(f=0;f<b.length;f++){g=W.exec(b[f])||[],h=i=g[1],j=g[2];if(!h){for(h in m)p.event.remove(a,h+b[f],c,d,!0);continue}n=p.event.special[h]||{},h=(d?n.delegateType:n.bindType)||h,o=m[h]||[],k=o.length,j=j?new RegExp(\"(^|\\\\.)\"+j.split(\".\").sort().join(\"\\\\.(?:.*\\\\.|)\")+\"(\\\\.|$)\"):null;for(l=0;l<o.length;l++)q=o[l],(e||i===q.origType)&&(!c||c.guid===q.guid)&&(!j||j.test(q.namespace))&&(!d||d===q.selector||d===\"**\"&&q.selector)&&(o.splice(l--,1),q.selector&&o.delegateCount--,n.remove&&n.remove.call(a,q));o.length===0&&k!==o.length&&((!n.teardown||n.teardown.call(a,j,r.handle)===!1)&&p.removeEvent(a,h,r.handle),delete m[h])}p.isEmptyObject(m)&&(delete r.handle,p.removeData(a,\"events\",!0))},customEvent:{getData:!0,setData:!0,changeData:!0},trigger:function(c,d,f,g){if(!f||f.nodeType!==3&&f.nodeType!==8){var h,i,j,k,l,m,n,o,q,r,s=c.type||c,t=[];if($.test(s+p.event.triggered))return;s.indexOf(\"!\")>=0&&(s=s.slice(0,-1),i=!0),s.indexOf(\".\")>=0&&(t=s.split(\".\"),s=t.shift(),t.sort());if((!f||p.event.customEvent[s])&&!p.event.global[s])return;c=typeof c==\"object\"?c[p.expando]?c:new p.Event(s,c):new p.Event(s),c.type=s,c.isTrigger=!0,c.exclusive=i,c.namespace=t.join(\".\"),c.namespace_re=c.namespace?new RegExp(\"(^|\\\\.)\"+t.join(\"\\\\.(?:.*\\\\.|)\")+\"(\\\\.|$)\"):null,m=s.indexOf(\":\")<0?\"on\"+s:\"\";if(!f){h=p.cache;for(j in h)h[j].events&&h[j].events[s]&&p.event.trigger(c,d,h[j].handle.elem,!0);return}c.result=b,c.target||(c.target=f),d=d!=null?p.makeArray(d):[],d.unshift(c),n=p.event.special[s]||{};if(n.trigger&&n.trigger.apply(f,d)===!1)return;q=[[f,n.bindType||s]];if(!g&&!n.noBubble&&!p.isWindow(f)){r=n.delegateType||s,k=$.test(r+s)?f:f.parentNode;for(l=f;k;k=k.parentNode)q.push([k,r]),l=k;l===(f.ownerDocument||e)&&q.push([l.defaultView||l.parentWindow||a,r])}for(j=0;j<q.length&&!c.isPropagationStopped();j++)k=q[j][0],c.type=q[j][1],o=(p._data(k,\"events\")||{})[c.type]&&p._data(k,\"handle\"),o&&o.apply(k,d),o=m&&k[m],o&&p.acceptData(k)&&o.apply&&o.apply(k,d)===!1&&c.preventDefault();return c.type=s,!g&&!c.isDefaultPrevented()&&(!n._default||n._default.apply(f.ownerDocument,d)===!1)&&(s!==\"click\"||!p.nodeName(f,\"a\"))&&p.acceptData(f)&&m&&f[s]&&(s!==\"focus\"&&s!==\"blur\"||c.target.offsetWidth!==0)&&!p.isWindow(f)&&(l=f[m],l&&(f[m]=null),p.event.triggered=s,f[s](),p.event.triggered=b,l&&(f[m]=l)),c.result}return},dispatch:function(c){c=p.event.fix(c||a.event);var d,e,f,g,h,i,j,l,m,n,o=(p._data(this,\"events\")||{})[c.type]||[],q=o.delegateCount,r=k.call(arguments),s=!c.exclusive&&!c.namespace,t=p.event.special[c.type]||{},u=[];r[0]=c,c.delegateTarget=this;if(t.preDispatch&&t.preDispatch.call(this,c)===!1)return;if(q&&(!c.button||c.type!==\"click\"))for(f=c.target;f!=this;f=f.parentNode||this)if(f.disabled!==!0||c.type!==\"click\"){h={},j=[];for(d=0;d<q;d++)l=o[d],m=l.selector,h[m]===b&&(h[m]=l.needsContext?p(m,this).index(f)>=0:p.find(m,this,null,[f]).length),h[m]&&j.push(l);j.length&&u.push({elem:f,matches:j})}o.length>q&&u.push({elem:this,matches:o.slice(q)});for(d=0;d<u.length&&!c.isPropagationStopped();d++){i=u[d],c.currentTarget=i.elem;for(e=0;e<i.matches.length&&!c.isImmediatePropagationStopped();e++){l=i.matches[e];if(s||!c.namespace&&!l.namespace||c.namespace_re&&c.namespace_re.test(l.namespace))c.data=l.data,c.handleObj=l,g=((p.event.special[l.origType]||{}).handle||l.handler).apply(i.elem,r),g!==b&&(c.result=g,g===!1&&(c.preventDefault(),c.stopPropagation()))}}return t.postDispatch&&t.postDispatch.call(this,c),c.result},props:\"attrChange attrName relatedNode srcElement altKey bubbles cancelable ctrlKey currentTarget eventPhase metaKey relatedTarget shiftKey target timeStamp view which\".split(\" \"),fixHooks:{},keyHooks:{props:\"char charCode key keyCode\".split(\" \"),filter:function(a,b){return a.which==null&&(a.which=b.charCode!=null?b.charCode:b.keyCode),a}},mouseHooks:{props:\"button buttons clientX clientY fromElement offsetX offsetY pageX pageY screenX screenY toElement\".split(\" \"),filter:function(a,c){var d,f,g,h=c.button,i=c.fromElement;return a.pageX==null&&c.clientX!=null&&(d=a.target.ownerDocument||e,f=d.documentElement,g=d.body,a.pageX=c.clientX+(f&&f.scrollLeft||g&&g.scrollLeft||0)-(f&&f.clientLeft||g&&g.clientLeft||0),a.pageY=c.clientY+(f&&f.scrollTop||g&&g.scrollTop||0)-(f&&f.clientTop||g&&g.clientTop||0)),!a.relatedTarget&&i&&(a.relatedTarget=i===a.target?c.toElement:i),!a.which&&h!==b&&(a.which=h&1?1:h&2?3:h&4?2:0),a}},fix:function(a){if(a[p.expando])return a;var b,c,d=a,f=p.event.fixHooks[a.type]||{},g=f.props?this.props.concat(f.props):this.props;a=p.Event(d);for(b=g.length;b;)c=g[--b],a[c]=d[c];return a.target||(a.target=d.srcElement||e),a.target.nodeType===3&&(a.target=a.target.parentNode),a.metaKey=!!a.metaKey,f.filter?f.filter(a,d):a},special:{load:{noBubble:!0},focus:{delegateType:\"focusin\"},blur:{delegateType:\"focusout\"},beforeunload:{setup:function(a,b,c){p.isWindow(this)&&(this.onbeforeunload=c)},teardown:function(a,b){this.onbeforeunload===b&&(this.onbeforeunload=null)}}},simulate:function(a,b,c,d){var e=p.extend(new p.Event,c,{type:a,isSimulated:!0,originalEvent:{}});d?p.event.trigger(e,null,b):p.event.dispatch.call(b,e),e.isDefaultPrevented()&&c.preventDefault()}},p.event.handle=p.event.dispatch,p.removeEvent=e.removeEventListener?function(a,b,c){a.removeEventListener&&a.removeEventListener(b,c,!1)}:function(a,b,c){var d=\"on\"+b;a.detachEvent&&(typeof a[d]==\"undefined\"&&(a[d]=null),a.detachEvent(d,c))},p.Event=function(a,b){if(this instanceof p.Event)a&&a.type?(this.originalEvent=a,this.type=a.type,this.isDefaultPrevented=a.defaultPrevented||a.returnValue===!1||a.getPreventDefault&&a.getPreventDefault()?bb:ba):this.type=a,b&&p.extend(this,b),this.timeStamp=a&&a.timeStamp||p.now(),this[p.expando]=!0;else return new p.Event(a,b)},p.Event.prototype={preventDefault:function(){this.isDefaultPrevented=bb;var a=this.originalEvent;if(!a)return;a.preventDefault?a.preventDefault():a.returnValue=!1},stopPropagation:function(){this.isPropagationStopped=bb;var a=this.originalEvent;if(!a)return;a.stopPropagation&&a.stopPropagation(),a.cancelBubble=!0},stopImmediatePropagation:function(){this.isImmediatePropagationStopped=bb,this.stopPropagation()},isDefaultPrevented:ba,isPropagationStopped:ba,isImmediatePropagationStopped:ba},p.each({mouseenter:\"mouseover\",mouseleave:\"mouseout\"},function(a,b){p.event.special[a]={delegateType:b,bindType:b,handle:function(a){var c,d=this,e=a.relatedTarget,f=a.handleObj,g=f.selector;if(!e||e!==d&&!p.contains(d,e))a.type=f.origType,c=f.handler.apply(this,arguments),a.type=b;return c}}}),p.support.submitBubbles||(p.event.special.submit={setup:function(){if(p.nodeName(this,\"form\"))return!1;p.event.add(this,\"click._submit keypress._submit\",function(a){var c=a.target,d=p.nodeName(c,\"input\")||p.nodeName(c,\"button\")?c.form:b;d&&!p._data(d,\"_submit_attached\")&&(p.event.add(d,\"submit._submit\",function(a){a._submit_bubble=!0}),p._data(d,\"_submit_attached\",!0))})},postDispatch:function(a){a._submit_bubble&&(delete a._submit_bubble,this.parentNode&&!a.isTrigger&&p.event.simulate(\"submit\",this.parentNode,a,!0))},teardown:function(){if(p.nodeName(this,\"form\"))return!1;p.event.remove(this,\"._submit\")}}),p.support.changeBubbles||(p.event.special.change={setup:function(){if(V.test(this.nodeName)){if(this.type===\"checkbox\"||this.type===\"radio\")p.event.add(this,\"propertychange._change\",function(a){a.originalEvent.propertyName===\"checked\"&&(this._just_changed=!0)}),p.event.add(this,\"click._change\",function(a){this._just_changed&&!a.isTrigger&&(this._just_changed=!1),p.event.simulate(\"change\",this,a,!0)});return!1}p.event.add(this,\"beforeactivate._change\",function(a){var b=a.target;V.test(b.nodeName)&&!p._data(b,\"_change_attached\")&&(p.event.add(b,\"change._change\",function(a){this.parentNode&&!a.isSimulated&&!a.isTrigger&&p.event.simulate(\"change\",this.parentNode,a,!0)}),p._data(b,\"_change_attached\",!0))})},handle:function(a){var b=a.target;if(this!==b||a.isSimulated||a.isTrigger||b.type!==\"radio\"&&b.type!==\"checkbox\")return a.handleObj.handler.apply(this,arguments)},teardown:function(){return p.event.remove(this,\"._change\"),!V.test(this.nodeName)}}),p.support.focusinBubbles||p.each({focus:\"focusin\",blur:\"focusout\"},function(a,b){var c=0,d=function(a){p.event.simulate(b,a.target,p.event.fix(a),!0)};p.event.special[b]={setup:function(){c++===0&&e.addEventListener(a,d,!0)},teardown:function(){--c===0&&e.removeEventListener(a,d,!0)}}}),p.fn.extend({on:function(a,c,d,e,f){var g,h;if(typeof a==\"object\"){typeof c!=\"string\"&&(d=d||c,c=b);for(h in a)this.on(h,c,d,a[h],f);return this}d==null&&e==null?(e=c,d=c=b):e==null&&(typeof c==\"string\"?(e=d,d=b):(e=d,d=c,c=b));if(e===!1)e=ba;else if(!e)return this;return f===1&&(g=e,e=function(a){return p().off(a),g.apply(this,arguments)},e.guid=g.guid||(g.guid=p.guid++)),this.each(function(){p.event.add(this,a,e,d,c)})},one:function(a,b,c,d){return this.on(a,b,c,d,1)},off:function(a,c,d){var e,f;if(a&&a.preventDefault&&a.handleObj)return e=a.handleObj,p(a.delegateTarget).off(e.namespace?e.origType+\".\"+e.namespace:e.origType,e.selector,e.handler),this;if(typeof a==\"object\"){for(f in a)this.off(f,c,a[f]);return this}if(c===!1||typeof c==\"function\")d=c,c=b;return d===!1&&(d=ba),this.each(function(){p.event.remove(this,a,d,c)})},bind:function(a,b,c){return this.on(a,null,b,c)},unbind:function(a,b){return this.off(a,null,b)},live:function(a,b,c){return p(this.context).on(a,this.selector,b,c),this},die:function(a,b){return p(this.context).off(a,this.selector||\"**\",b),this},delegate:function(a,b,c,d){return this.on(b,a,c,d)},undelegate:function(a,b,c){return arguments.length===1?this.off(a,\"**\"):this.off(b,a||\"**\",c)},trigger:function(a,b){return this.each(function(){p.event.trigger(a,b,this)})},triggerHandler:function(a,b){if(this[0])return p.event.trigger(a,b,this[0],!0)},toggle:function(a){var b=arguments,c=a.guid||p.guid++,d=0,e=function(c){var e=(p._data(this,\"lastToggle\"+a.guid)||0)%d;return p._data(this,\"lastToggle\"+a.guid,e+1),c.preventDefault(),b[e].apply(this,arguments)||!1};e.guid=c;while(d<b.length)b[d++].guid=c;return this.click(e)},hover:function(a,b){return this.mouseenter(a).mouseleave(b||a)}}),p.each(\"blur focus focusin focusout load resize scroll unload click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup error contextmenu\".split(\" \"),function(a,b){p.fn[b]=function(a,c){return c==null&&(c=a,a=null),arguments.length>0?this.on(b,null,a,c):this.trigger(b)},Y.test(b)&&(p.event.fixHooks[b]=p.event.keyHooks),Z.test(b)&&(p.event.fixHooks[b]=p.event.mouseHooks)}),function(a,b){function bc(a,b,c,d){c=c||[],b=b||r;var e,f,i,j,k=b.nodeType;if(!a||typeof a!=\"string\")return c;if(k!==1&&k!==9)return[];i=g(b);if(!i&&!d)if(e=P.exec(a))if(j=e[1]){if(k===9){f=b.getElementById(j);if(!f||!f.parentNode)return c;if(f.id===j)return c.push(f),c}else if(b.ownerDocument&&(f=b.ownerDocument.getElementById(j))&&h(b,f)&&f.id===j)return c.push(f),c}else{if(e[2])return w.apply(c,x.call(b.getElementsByTagName(a),0)),c;if((j=e[3])&&_&&b.getElementsByClassName)return w.apply(c,x.call(b.getElementsByClassName(j),0)),c}return bp(a.replace(L,\"$1\"),b,c,d,i)}function bd(a){return function(b){var c=b.nodeName.toLowerCase();return c===\"input\"&&b.type===a}}function be(a){return function(b){var c=b.nodeName.toLowerCase();return(c===\"input\"||c===\"button\")&&b.type===a}}function bf(a){return z(function(b){return b=+b,z(function(c,d){var e,f=a([],c.length,b),g=f.length;while(g--)c[e=f[g]]&&(c[e]=!(d[e]=c[e]))})})}function bg(a,b,c){if(a===b)return c;var d=a.nextSibling;while(d){if(d===b)return-1;d=d.nextSibling}return 1}function bh(a,b){var c,d,f,g,h,i,j,k=C[o][a];if(k)return b?0:k.slice(0);h=a,i=[],j=e.preFilter;while(h){if(!c||(d=M.exec(h)))d&&(h=h.slice(d[0].length)),i.push(f=[]);c=!1;if(d=N.exec(h))f.push(c=new q(d.shift())),h=h.slice(c.length),c.type=d[0].replace(L,\" \");for(g in e.filter)(d=W[g].exec(h))&&(!j[g]||(d=j[g](d,r,!0)))&&(f.push(c=new q(d.shift())),h=h.slice(c.length),c.type=g,c.matches=d);if(!c)break}return b?h.length:h?bc.error(a):C(a,i).slice(0)}function bi(a,b,d){var e=b.dir,f=d&&b.dir===\"parentNode\",g=u++;return b.first?function(b,c,d){while(b=b[e])if(f||b.nodeType===1)return a(b,c,d)}:function(b,d,h){if(!h){var i,j=t+\" \"+g+\" \",k=j+c;while(b=b[e])if(f||b.nodeType===1){if((i=b[o])===k)return b.sizset;if(typeof i==\"string\"&&i.indexOf(j)===0){if(b.sizset)return b}else{b[o]=k;if(a(b,d,h))return b.sizset=!0,b;b.sizset=!1}}}else while(b=b[e])if(f||b.nodeType===1)if(a(b,d,h))return b}}function bj(a){return a.length>1?function(b,c,d){var e=a.length;while(e--)if(!a[e](b,c,d))return!1;return!0}:a[0]}function bk(a,b,c,d,e){var f,g=[],h=0,i=a.length,j=b!=null;for(;h<i;h++)if(f=a[h])if(!c||c(f,d,e))g.push(f),j&&b.push(h);return g}function bl(a,b,c,d,e,f){return d&&!d[o]&&(d=bl(d)),e&&!e[o]&&(e=bl(e,f)),z(function(f,g,h,i){if(f&&e)return;var j,k,l,m=[],n=[],o=g.length,p=f||bo(b||\"*\",h.nodeType?[h]:h,[],f),q=a&&(f||!b)?bk(p,m,a,h,i):p,r=c?e||(f?a:o||d)?[]:g:q;c&&c(q,r,h,i);if(d){l=bk(r,n),d(l,[],h,i),j=l.length;while(j--)if(k=l[j])r[n[j]]=!(q[n[j]]=k)}if(f){j=a&&r.length;while(j--)if(k=r[j])f[m[j]]=!(g[m[j]]=k)}else r=bk(r===g?r.splice(o,r.length):r),e?e(null,g,r,i):w.apply(g,r)})}function bm(a){var b,c,d,f=a.length,g=e.relative[a[0].type],h=g||e.relative[\" \"],i=g?1:0,j=bi(function(a){return a===b},h,!0),k=bi(function(a){return y.call(b,a)>-1},h,!0),m=[function(a,c,d){return!g&&(d||c!==l)||((b=c).nodeType?j(a,c,d):k(a,c,d))}];for(;i<f;i++)if(c=e.relative[a[i].type])m=[bi(bj(m),c)];else{c=e.filter[a[i].type].apply(null,a[i].matches);if(c[o]){d=++i;for(;d<f;d++)if(e.relative[a[d].type])break;return bl(i>1&&bj(m),i>1&&a.slice(0,i-1).join(\"\").replace(L,\"$1\"),c,i<d&&bm(a.slice(i,d)),d<f&&bm(a=a.slice(d)),d<f&&a.join(\"\"))}m.push(c)}return bj(m)}function bn(a,b){var d=b.length>0,f=a.length>0,g=function(h,i,j,k,m){var n,o,p,q=[],s=0,u=\"0\",x=h&&[],y=m!=null,z=l,A=h||f&&e.find.TAG(\"*\",m&&i.parentNode||i),B=t+=z==null?1:Math.E;y&&(l=i!==r&&i,c=g.el);for(;(n=A[u])!=null;u++){if(f&&n){for(o=0;p=a[o];o++)if(p(n,i,j)){k.push(n);break}y&&(t=B,c=++g.el)}d&&((n=!p&&n)&&s--,h&&x.push(n))}s+=u;if(d&&u!==s){for(o=0;p=b[o];o++)p(x,q,i,j);if(h){if(s>0)while(u--)!x[u]&&!q[u]&&(q[u]=v.call(k));q=bk(q)}w.apply(k,q),y&&!h&&q.length>0&&s+b.length>1&&bc.uniqueSort(k)}return y&&(t=B,l=z),x};return g.el=0,d?z(g):g}function bo(a,b,c,d){var e=0,f=b.length;for(;e<f;e++)bc(a,b[e],c,d);return c}function bp(a,b,c,d,f){var g,h,j,k,l,m=bh(a),n=m.length;if(!d&&m.length===1){h=m[0]=m[0].slice(0);if(h.length>2&&(j=h[0]).type===\"ID\"&&b.nodeType===9&&!f&&e.relative[h[1].type]){b=e.find.ID(j.matches[0].replace(V,\"\"),b,f)[0];if(!b)return c;a=a.slice(h.shift().length)}for(g=W.POS.test(a)?-1:h.length-1;g>=0;g--){j=h[g];if(e.relative[k=j.type])break;if(l=e.find[k])if(d=l(j.matches[0].replace(V,\"\"),R.test(h[0].type)&&b.parentNode||b,f)){h.splice(g,1),a=d.length&&h.join(\"\");if(!a)return w.apply(c,x.call(d,0)),c;break}}}return i(a,m)(d,b,f,c,R.test(a)),c}function bq(){}var c,d,e,f,g,h,i,j,k,l,m=!0,n=\"undefined\",o=(\"sizcache\"+Math.random()).replace(\".\",\"\"),q=String,r=a.document,s=r.documentElement,t=0,u=0,v=[].pop,w=[].push,x=[].slice,y=[].indexOf||function(a){var b=0,c=this.length;for(;b<c;b++)if(this[b]===a)return b;return-1},z=function(a,b){return a[o]=b==null||b,a},A=function(){var a={},b=[];return z(function(c,d){return b.push(c)>e.cacheLength&&delete a[b.shift()],a[c]=d},a)},B=A(),C=A(),D=A(),E=\"[\\\\x20\\\\t\\\\r\\\\n\\\\f]\",F=\"(?:\\\\\\\\.|[-\\\\w]|[^\\\\x00-\\\\xa0])+\",G=F.replace(\"w\",\"w#\"),H=\"([*^$|!~]?=)\",I=\"\\\\[\"+E+\"*(\"+F+\")\"+E+\"*(?:\"+H+E+\"*(?:(['\\\"])((?:\\\\\\\\.|[^\\\\\\\\])*?)\\\\3|(\"+G+\")|)|)\"+E+\"*\\\\]\",J=\":(\"+F+\")(?:\\\\((?:(['\\\"])((?:\\\\\\\\.|[^\\\\\\\\])*?)\\\\2|([^()[\\\\]]*|(?:(?:\"+I+\")|[^:]|\\\\\\\\.)*|.*))\\\\)|)\",K=\":(even|odd|eq|gt|lt|nth|first|last)(?:\\\\(\"+E+\"*((?:-\\\\d)?\\\\d*)\"+E+\"*\\\\)|)(?=[^-]|$)\",L=new RegExp(\"^\"+E+\"+|((?:^|[^\\\\\\\\])(?:\\\\\\\\.)*)\"+E+\"+$\",\"g\"),M=new RegExp(\"^\"+E+\"*,\"+E+\"*\"),N=new RegExp(\"^\"+E+\"*([\\\\x20\\\\t\\\\r\\\\n\\\\f>+~])\"+E+\"*\"),O=new RegExp(J),P=/^(?:#([\\w\\-]+)|(\\w+)|\\.([\\w\\-]+))$/,Q=/^:not/,R=/[\\x20\\t\\r\\n\\f]*[+~]/,S=/:not\\($/,T=/h\\d/i,U=/input|select|textarea|button/i,V=/\\\\(?!\\\\)/g,W={ID:new RegExp(\"^#(\"+F+\")\"),CLASS:new RegExp(\"^\\\\.(\"+F+\")\"),NAME:new RegExp(\"^\\\\[name=['\\\"]?(\"+F+\")['\\\"]?\\\\]\"),TAG:new RegExp(\"^(\"+F.replace(\"w\",\"w*\")+\")\"),ATTR:new RegExp(\"^\"+I),PSEUDO:new RegExp(\"^\"+J),POS:new RegExp(K,\"i\"),CHILD:new RegExp(\"^:(only|nth|first|last)-child(?:\\\\(\"+E+\"*(even|odd|(([+-]|)(\\\\d*)n|)\"+E+\"*(?:([+-]|)\"+E+\"*(\\\\d+)|))\"+E+\"*\\\\)|)\",\"i\"),needsContext:new RegExp(\"^\"+E+\"*[>+~]|\"+K,\"i\")},X=function(a){var b=r.createElement(\"div\");try{return a(b)}catch(c){return!1}finally{b=null}},Y=X(function(a){return a.appendChild(r.createComment(\"\")),!a.getElementsByTagName(\"*\").length}),Z=X(function(a){return a.innerHTML=\"<a href='#'></a>\",a.firstChild&&typeof a.firstChild.getAttribute!==n&&a.firstChild.getAttribute(\"href\")===\"#\"}),$=X(function(a){a.innerHTML=\"<select></select>\";var b=typeof a.lastChild.getAttribute(\"multiple\");return b!==\"boolean\"&&b!==\"string\"}),_=X(function(a){return a.innerHTML=\"<div class='hidden e'></div><div class='hidden'></div>\",!a.getElementsByClassName||!a.getElementsByClassName(\"e\").length?!1:(a.lastChild.className=\"e\",a.getElementsByClassName(\"e\").length===2)}),ba=X(function(a){a.id=o+0,a.innerHTML=\"<a name='\"+o+\"'></a><div name='\"+o+\"'></div>\",s.insertBefore(a,s.firstChild);var b=r.getElementsByName&&r.getElementsByName(o).length===2+r.getElementsByName(o+0).length;return d=!r.getElementById(o),s.removeChild(a),b});try{x.call(s.childNodes,0)[0].nodeType}catch(bb){x=function(a){var b,c=[];for(;b=this[a];a++)c.push(b);return c}}bc.matches=function(a,b){return bc(a,null,null,b)},bc.matchesSelector=function(a,b){return bc(b,null,null,[a]).length>0},f=bc.getText=function(a){var b,c=\"\",d=0,e=a.nodeType;if(e){if(e===1||e===9||e===11){if(typeof a.textContent==\"string\")return a.textContent;for(a=a.firstChild;a;a=a.nextSibling)c+=f(a)}else if(e===3||e===4)return a.nodeValue}else for(;b=a[d];d++)c+=f(b);return c},g=bc.isXML=function(a){var b=a&&(a.ownerDocument||a).documentElement;return b?b.nodeName!==\"HTML\":!1},h=bc.contains=s.contains?function(a,b){var c=a.nodeType===9?a.documentElement:a,d=b&&b.parentNode;return a===d||!!(d&&d.nodeType===1&&c.contains&&c.contains(d))}:s.compareDocumentPosition?function(a,b){return b&&!!(a.compareDocumentPosition(b)&16)}:function(a,b){while(b=b.parentNode)if(b===a)return!0;return!1},bc.attr=function(a,b){var c,d=g(a);return d||(b=b.toLowerCase()),(c=e.attrHandle[b])?c(a):d||$?a.getAttribute(b):(c=a.getAttributeNode(b),c?typeof a[b]==\"boolean\"?a[b]?b:null:c.specified?c.value:null:null)},e=bc.selectors={cacheLength:50,createPseudo:z,match:W,attrHandle:Z?{}:{href:function(a){return a.getAttribute(\"href\",2)},type:function(a){return a.getAttribute(\"type\")}},find:{ID:d?function(a,b,c){if(typeof b.getElementById!==n&&!c){var d=b.getElementById(a);return d&&d.parentNode?[d]:[]}}:function(a,c,d){if(typeof c.getElementById!==n&&!d){var e=c.getElementById(a);return e?e.id===a||typeof e.getAttributeNode!==n&&e.getAttributeNode(\"id\").value===a?[e]:b:[]}},TAG:Y?function(a,b){if(typeof b.getElementsByTagName!==n)return b.getElementsByTagName(a)}:function(a,b){var c=b.getElementsByTagName(a);if(a===\"*\"){var d,e=[],f=0;for(;d=c[f];f++)d.nodeType===1&&e.push(d);return e}return c},NAME:ba&&function(a,b){if(typeof b.getElementsByName!==n)return b.getElementsByName(name)},CLASS:_&&function(a,b,c){if(typeof b.getElementsByClassName!==n&&!c)return b.getElementsByClassName(a)}},relative:{\">\":{dir:\"parentNode\",first:!0},\" \":{dir:\"parentNode\"},\"+\":{dir:\"previousSibling\",first:!0},\"~\":{dir:\"previousSibling\"}},preFilter:{ATTR:function(a){return a[1]=a[1].replace(V,\"\"),a[3]=(a[4]||a[5]||\"\").replace(V,\"\"),a[2]===\"~=\"&&(a[3]=\" \"+a[3]+\" \"),a.slice(0,4)},CHILD:function(a){return a[1]=a[1].toLowerCase(),a[1]===\"nth\"?(a[2]||bc.error(a[0]),a[3]=+(a[3]?a[4]+(a[5]||1):2*(a[2]===\"even\"||a[2]===\"odd\")),a[4]=+(a[6]+a[7]||a[2]===\"odd\")):a[2]&&bc.error(a[0]),a},PSEUDO:function(a){var b,c;if(W.CHILD.test(a[0]))return null;if(a[3])a[2]=a[3];else if(b=a[4])O.test(b)&&(c=bh(b,!0))&&(c=b.indexOf(\")\",b.length-c)-b.length)&&(b=b.slice(0,c),a[0]=a[0].slice(0,c)),a[2]=b;return a.slice(0,3)}},filter:{ID:d?function(a){return a=a.replace(V,\"\"),function(b){return b.getAttribute(\"id\")===a}}:function(a){return a=a.replace(V,\"\"),function(b){var c=typeof b.getAttributeNode!==n&&b.getAttributeNode(\"id\");return c&&c.value===a}},TAG:function(a){return a===\"*\"?function(){return!0}:(a=a.replace(V,\"\").toLowerCase(),function(b){return b.nodeName&&b.nodeName.toLowerCase()===a})},CLASS:function(a){var b=B[o][a];return b||(b=B(a,new RegExp(\"(^|\"+E+\")\"+a+\"(\"+E+\"|$)\"))),function(a){return b.test(a.className||typeof a.getAttribute!==n&&a.getAttribute(\"class\")||\"\")}},ATTR:function(a,b,c){return function(d,e){var f=bc.attr(d,a);return f==null?b===\"!=\":b?(f+=\"\",b===\"=\"?f===c:b===\"!=\"?f!==c:b===\"^=\"?c&&f.indexOf(c)===0:b===\"*=\"?c&&f.indexOf(c)>-1:b===\"$=\"?c&&f.substr(f.length-c.length)===c:b===\"~=\"?(\" \"+f+\" \").indexOf(c)>-1:b===\"|=\"?f===c||f.substr(0,c.length+1)===c+\"-\":!1):!0}},CHILD:function(a,b,c,d){return a===\"nth\"?function(a){var b,e,f=a.parentNode;if(c===1&&d===0)return!0;if(f){e=0;for(b=f.firstChild;b;b=b.nextSibling)if(b.nodeType===1){e++;if(a===b)break}}return e-=d,e===c||e%c===0&&e/c>=0}:function(b){var c=b;switch(a){case\"only\":case\"first\":while(c=c.previousSibling)if(c.nodeType===1)return!1;if(a===\"first\")return!0;c=b;case\"last\":while(c=c.nextSibling)if(c.nodeType===1)return!1;return!0}}},PSEUDO:function(a,b){var c,d=e.pseudos[a]||e.setFilters[a.toLowerCase()]||bc.error(\"unsupported pseudo: \"+a);return d[o]?d(b):d.length>1?(c=[a,a,\"\",b],e.setFilters.hasOwnProperty(a.toLowerCase())?z(function(a,c){var e,f=d(a,b),g=f.length;while(g--)e=y.call(a,f[g]),a[e]=!(c[e]=f[g])}):function(a){return d(a,0,c)}):d}},pseudos:{not:z(function(a){var b=[],c=[],d=i(a.replace(L,\"$1\"));return d[o]?z(function(a,b,c,e){var f,g=d(a,null,e,[]),h=a.length;while(h--)if(f=g[h])a[h]=!(b[h]=f)}):function(a,e,f){return b[0]=a,d(b,null,f,c),!c.pop()}}),has:z(function(a){return function(b){return bc(a,b).length>0}}),contains:z(function(a){return function(b){return(b.textContent||b.innerText||f(b)).indexOf(a)>-1}}),enabled:function(a){return a.disabled===!1},disabled:function(a){return a.disabled===!0},checked:function(a){var b=a.nodeName.toLowerCase();return b===\"input\"&&!!a.checked||b===\"option\"&&!!a.selected},selected:function(a){return a.parentNode&&a.parentNode.selectedIndex,a.selected===!0},parent:function(a){return!e.pseudos.empty(a)},empty:function(a){var b;a=a.firstChild;while(a){if(a.nodeName>\"@\"||(b=a.nodeType)===3||b===4)return!1;a=a.nextSibling}return!0},header:function(a){return T.test(a.nodeName)},text:function(a){var b,c;return a.nodeName.toLowerCase()===\"input\"&&(b=a.type)===\"text\"&&((c=a.getAttribute(\"type\"))==null||c.toLowerCase()===b)},radio:bd(\"radio\"),checkbox:bd(\"checkbox\"),file:bd(\"file\"),password:bd(\"password\"),image:bd(\"image\"),submit:be(\"submit\"),reset:be(\"reset\"),button:function(a){var b=a.nodeName.toLowerCase();return b===\"input\"&&a.type===\"button\"||b===\"button\"},input:function(a){return U.test(a.nodeName)},focus:function(a){var b=a.ownerDocument;return a===b.activeElement&&(!b.hasFocus||b.hasFocus())&&(!!a.type||!!a.href)},active:function(a){return a===a.ownerDocument.activeElement},first:bf(function(a,b,c){return[0]}),last:bf(function(a,b,c){return[b-1]}),eq:bf(function(a,b,c){return[c<0?c+b:c]}),even:bf(function(a,b,c){for(var d=0;d<b;d+=2)a.push(d);return a}),odd:bf(function(a,b,c){for(var d=1;d<b;d+=2)a.push(d);return a}),lt:bf(function(a,b,c){for(var d=c<0?c+b:c;--d>=0;)a.push(d);return a}),gt:bf(function(a,b,c){for(var d=c<0?c+b:c;++d<b;)a.push(d);return a})}},j=s.compareDocumentPosition?function(a,b){return a===b?(k=!0,0):(!a.compareDocumentPosition||!b.compareDocumentPosition?a.compareDocumentPosition:a.compareDocumentPosition(b)&4)?-1:1}:function(a,b){if(a===b)return k=!0,0;if(a.sourceIndex&&b.sourceIndex)return a.sourceIndex-b.sourceIndex;var c,d,e=[],f=[],g=a.parentNode,h=b.parentNode,i=g;if(g===h)return bg(a,b);if(!g)return-1;if(!h)return 1;while(i)e.unshift(i),i=i.parentNode;i=h;while(i)f.unshift(i),i=i.parentNode;c=e.length,d=f.length;for(var j=0;j<c&&j<d;j++)if(e[j]!==f[j])return bg(e[j],f[j]);return j===c?bg(a,f[j],-1):bg(e[j],b,1)},[0,0].sort(j),m=!k,bc.uniqueSort=function(a){var b,c=1;k=m,a.sort(j);if(k)for(;b=a[c];c++)b===a[c-1]&&a.splice(c--,1);return a},bc.error=function(a){throw new Error(\"Syntax error, unrecognized expression: \"+a)},i=bc.compile=function(a,b){var c,d=[],e=[],f=D[o][a];if(!f){b||(b=bh(a)),c=b.length;while(c--)f=bm(b[c]),f[o]?d.push(f):e.push(f);f=D(a,bn(e,d))}return f},r.querySelectorAll&&function(){var a,b=bp,c=/'|\\\\/g,d=/\\=[\\x20\\t\\r\\n\\f]*([^'\"\\]]*)[\\x20\\t\\r\\n\\f]*\\]/g,e=[\":focus\"],f=[\":active\",\":focus\"],h=s.matchesSelector||s.mozMatchesSelector||s.webkitMatchesSelector||s.oMatchesSelector||s.msMatchesSelector;X(function(a){a.innerHTML=\"<select><option selected=''></option></select>\",a.querySelectorAll(\"[selected]\").length||e.push(\"\\\\[\"+E+\"*(?:checked|disabled|ismap|multiple|readonly|selected|value)\"),a.querySelectorAll(\":checked\").length||e.push(\":checked\")}),X(function(a){a.innerHTML=\"<p test=''></p>\",a.querySelectorAll(\"[test^='']\").length&&e.push(\"[*^$]=\"+E+\"*(?:\\\"\\\"|'')\"),a.innerHTML=\"<input type='hidden'/>\",a.querySelectorAll(\":enabled\").length||e.push(\":enabled\",\":disabled\")}),e=new RegExp(e.join(\"|\")),bp=function(a,d,f,g,h){if(!g&&!h&&(!e||!e.test(a))){var i,j,k=!0,l=o,m=d,n=d.nodeType===9&&a;if(d.nodeType===1&&d.nodeName.toLowerCase()!==\"object\"){i=bh(a),(k=d.getAttribute(\"id\"))?l=k.replace(c,\"\\\\$&\"):d.setAttribute(\"id\",l),l=\"[id='\"+l+\"'] \",j=i.length;while(j--)i[j]=l+i[j].join(\"\");m=R.test(a)&&d.parentNode||d,n=i.join(\",\")}if(n)try{return w.apply(f,x.call(m.querySelectorAll(n),0)),f}catch(p){}finally{k||d.removeAttribute(\"id\")}}return b(a,d,f,g,h)},h&&(X(function(b){a=h.call(b,\"div\");try{h.call(b,\"[test!='']:sizzle\"),f.push(\"!=\",J)}catch(c){}}),f=new RegExp(f.join(\"|\")),bc.matchesSelector=function(b,c){c=c.replace(d,\"='$1']\");if(!g(b)&&!f.test(c)&&(!e||!e.test(c)))try{var i=h.call(b,c);if(i||a||b.document&&b.document.nodeType!==11)return i}catch(j){}return bc(c,null,null,[b]).length>0})}(),e.pseudos.nth=e.pseudos.eq,e.filters=bq.prototype=e.pseudos,e.setFilters=new bq,bc.attr=p.attr,p.find=bc,p.expr=bc.selectors,p.expr[\":\"]=p.expr.pseudos,p.unique=bc.uniqueSort,p.text=bc.getText,p.isXMLDoc=bc.isXML,p.contains=bc.contains}(a);var bc=/Until$/,bd=/^(?:parents|prev(?:Until|All))/,be=/^.[^:#\\[\\.,]*$/,bf=p.expr.match.needsContext,bg={children:!0,contents:!0,next:!0,prev:!0};p.fn.extend({find:function(a){var b,c,d,e,f,g,h=this;if(typeof a!=\"string\")return p(a).filter(function(){for(b=0,c=h.length;b<c;b++)if(p.contains(h[b],this))return!0});g=this.pushStack(\"\",\"find\",a);for(b=0,c=this.length;b<c;b++){d=g.length,p.find(a,this[b],g);if(b>0)for(e=d;e<g.length;e++)for(f=0;f<d;f++)if(g[f]===g[e]){g.splice(e--,1);break}}return g},has:function(a){var b,c=p(a,this),d=c.length;return this.filter(function(){for(b=0;b<d;b++)if(p.contains(this,c[b]))return!0})},not:function(a){return this.pushStack(bj(this,a,!1),\"not\",a)},filter:function(a){return this.pushStack(bj(this,a,!0),\"filter\",a)},is:function(a){return!!a&&(typeof a==\"string\"?bf.test(a)?p(a,this.context).index(this[0])>=0:p.filter(a,this).length>0:this.filter(a).length>0)},closest:function(a,b){var c,d=0,e=this.length,f=[],g=bf.test(a)||typeof a!=\"string\"?p(a,b||this.context):0;for(;d<e;d++){c=this[d];while(c&&c.ownerDocument&&c!==b&&c.nodeType!==11){if(g?g.index(c)>-1:p.find.matchesSelector(c,a)){f.push(c);break}c=c.parentNode}}return f=f.length>1?p.unique(f):f,this.pushStack(f,\"closest\",a)},index:function(a){return a?typeof a==\"string\"?p.inArray(this[0],p(a)):p.inArray(a.jquery?a[0]:a,this):this[0]&&this[0].parentNode?this.prevAll().length:-1},add:function(a,b){var c=typeof a==\"string\"?p(a,b):p.makeArray(a&&a.nodeType?[a]:a),d=p.merge(this.get(),c);return this.pushStack(bh(c[0])||bh(d[0])?d:p.unique(d))},addBack:function(a){return this.add(a==null?this.prevObject:this.prevObject.filter(a))}}),p.fn.andSelf=p.fn.addBack,p.each({parent:function(a){var b=a.parentNode;return b&&b.nodeType!==11?b:null},parents:function(a){return p.dir(a,\"parentNode\")},parentsUntil:function(a,b,c){return p.dir(a,\"parentNode\",c)},next:function(a){return bi(a,\"nextSibling\")},prev:function(a){return bi(a,\"previousSibling\")},nextAll:function(a){return p.dir(a,\"nextSibling\")},prevAll:function(a){return p.dir(a,\"previousSibling\")},nextUntil:function(a,b,c){return p.dir(a,\"nextSibling\",c)},prevUntil:function(a,b,c){return p.dir(a,\"previousSibling\",c)},siblings:function(a){return p.sibling((a.parentNode||{}).firstChild,a)},children:function(a){return p.sibling(a.firstChild)},contents:function(a){return p.nodeName(a,\"iframe\")?a.contentDocument||a.contentWindow.document:p.merge([],a.childNodes)}},function(a,b){p.fn[a]=function(c,d){var e=p.map(this,b,c);return bc.test(a)||(d=c),d&&typeof d==\"string\"&&(e=p.filter(d,e)),e=this.length>1&&!bg[a]?p.unique(e):e,this.length>1&&bd.test(a)&&(e=e.reverse()),this.pushStack(e,a,k.call(arguments).join(\",\"))}}),p.extend({filter:function(a,b,c){return c&&(a=\":not(\"+a+\")\"),b.length===1?p.find.matchesSelector(b[0],a)?[b[0]]:[]:p.find.matches(a,b)},dir:function(a,c,d){var e=[],f=a[c];while(f&&f.nodeType!==9&&(d===b||f.nodeType!==1||!p(f).is(d)))f.nodeType===1&&e.push(f),f=f[c];return e},sibling:function(a,b){var c=[];for(;a;a=a.nextSibling)a.nodeType===1&&a!==b&&c.push(a);return c}});var bl=\"abbr|article|aside|audio|bdi|canvas|data|datalist|details|figcaption|figure|footer|header|hgroup|mark|meter|nav|output|progress|section|summary|time|video\",bm=/ jQuery\\d+=\"(?:null|\\d+)\"/g,bn=/^\\s+/,bo=/<(?!area|br|col|embed|hr|img|input|link|meta|param)(([\\w:]+)[^>]*)\\/>/gi,bp=/<([\\w:]+)/,bq=/<tbody/i,br=/<|&#?\\w+;/,bs=/<(?:script|style|link)/i,bt=/<(?:script|object|embed|option|style)/i,bu=new RegExp(\"<(?:\"+bl+\")[\\\\s/>]\",\"i\"),bv=/^(?:checkbox|radio)$/,bw=/checked\\s*(?:[^=]|=\\s*.checked.)/i,bx=/\\/(java|ecma)script/i,by=/^\\s*<!(?:\\[CDATA\\[|\\-\\-)|[\\]\\-]{2}>\\s*$/g,bz={option:[1,\"<select multiple='multiple'>\",\"</select>\"],legend:[1,\"<fieldset>\",\"</fieldset>\"],thead:[1,\"<table>\",\"</table>\"],tr:[2,\"<table><tbody>\",\"</tbody></table>\"],td:[3,\"<table><tbody><tr>\",\"</tr></tbody></table>\"],col:[2,\"<table><tbody></tbody><colgroup>\",\"</colgroup></table>\"],area:[1,\"<map>\",\"</map>\"],_default:[0,\"\",\"\"]},bA=bk(e),bB=bA.appendChild(e.createElement(\"div\"));bz.optgroup=bz.option,bz.tbody=bz.tfoot=bz.colgroup=bz.caption=bz.thead,bz.th=bz.td,p.support.htmlSerialize||(bz._default=[1,\"X<div>\",\"</div>\"]),p.fn.extend({text:function(a){return p.access(this,function(a){return a===b?p.text(this):this.empty().append((this[0]&&this[0].ownerDocument||e).createTextNode(a))},null,a,arguments.length)},wrapAll:function(a){if(p.isFunction(a))return this.each(function(b){p(this).wrapAll(a.call(this,b))});if(this[0]){var b=p(a,this[0].ownerDocument).eq(0).clone(!0);this[0].parentNode&&b.insertBefore(this[0]),b.map(function(){var a=this;while(a.firstChild&&a.firstChild.nodeType===1)a=a.firstChild;return a}).append(this)}return this},wrapInner:function(a){return p.isFunction(a)?this.each(function(b){p(this).wrapInner(a.call(this,b))}):this.each(function(){var b=p(this),c=b.contents();c.length?c.wrapAll(a):b.append(a)})},wrap:function(a){var b=p.isFunction(a);return this.each(function(c){p(this).wrapAll(b?a.call(this,c):a)})},unwrap:function(){return this.parent().each(function(){p.nodeName(this,\"body\")||p(this).replaceWith(this.childNodes)}).end()},append:function(){return this.domManip(arguments,!0,function(a){(this.nodeType===1||this.nodeType===11)&&this.appendChild(a)})},prepend:function(){return this.domManip(arguments,!0,function(a){(this.nodeType===1||this.nodeType===11)&&this.insertBefore(a,this.firstChild)})},before:function(){if(!bh(this[0]))return this.domManip(arguments,!1,function(a){this.parentNode.insertBefore(a,this)});if(arguments.length){var a=p.clean(arguments);return this.pushStack(p.merge(a,this),\"before\",this.selector)}},after:function(){if(!bh(this[0]))return this.domManip(arguments,!1,function(a){this.parentNode.insertBefore(a,this.nextSibling)});if(arguments.length){var a=p.clean(arguments);return this.pushStack(p.merge(this,a),\"after\",this.selector)}},remove:function(a,b){var c,d=0;for(;(c=this[d])!=null;d++)if(!a||p.filter(a,[c]).length)!b&&c.nodeType===1&&(p.cleanData(c.getElementsByTagName(\"*\")),p.cleanData([c])),c.parentNode&&c.parentNode.removeChild(c);return this},empty:function(){var a,b=0;for(;(a=this[b])!=null;b++){a.nodeType===1&&p.cleanData(a.getElementsByTagName(\"*\"));while(a.firstChild)a.removeChild(a.firstChild)}return this},clone:function(a,b){return a=a==null?!1:a,b=b==null?a:b,this.map(function(){return p.clone(this,a,b)})},html:function(a){return p.access(this,function(a){var c=this[0]||{},d=0,e=this.length;if(a===b)return c.nodeType===1?c.innerHTML.replace(bm,\"\"):b;if(typeof a==\"string\"&&!bs.test(a)&&(p.support.htmlSerialize||!bu.test(a))&&(p.support.leadingWhitespace||!bn.test(a))&&!bz[(bp.exec(a)||[\"\",\"\"])[1].toLowerCase()]){a=a.replace(bo,\"<$1></$2>\");try{for(;d<e;d++)c=this[d]||{},c.nodeType===1&&(p.cleanData(c.getElementsByTagName(\"*\")),c.innerHTML=a);c=0}catch(f){}}c&&this.empty().append(a)},null,a,arguments.length)},replaceWith:function(a){return bh(this[0])?this.length?this.pushStack(p(p.isFunction(a)?a():a),\"replaceWith\",a):this:p.isFunction(a)?this.each(function(b){var c=p(this),d=c.html();c.replaceWith(a.call(this,b,d))}):(typeof a!=\"string\"&&(a=p(a).detach()),this.each(function(){var b=this.nextSibling,c=this.parentNode;p(this).remove(),b?p(b).before(a):p(c).append(a)}))},detach:function(a){return this.remove(a,!0)},domManip:function(a,c,d){a=[].concat.apply([],a);var e,f,g,h,i=0,j=a[0],k=[],l=this.length;if(!p.support.checkClone&&l>1&&typeof j==\"string\"&&bw.test(j))return this.each(function(){p(this).domManip(a,c,d)});if(p.isFunction(j))return this.each(function(e){var f=p(this);a[0]=j.call(this,e,c?f.html():b),f.domManip(a,c,d)});if(this[0]){e=p.buildFragment(a,this,k),g=e.fragment,f=g.firstChild,g.childNodes.length===1&&(g=f);if(f){c=c&&p.nodeName(f,\"tr\");for(h=e.cacheable||l-1;i<l;i++)d.call(c&&p.nodeName(this[i],\"table\")?bC(this[i],\"tbody\"):this[i],i===h?g:p.clone(g,!0,!0))}g=f=null,k.length&&p.each(k,function(a,b){b.src?p.ajax?p.ajax({url:b.src,type:\"GET\",dataType:\"script\",async:!1,global:!1,\"throws\":!0}):p.error(\"no ajax\"):p.globalEval((b.text||b.textContent||b.innerHTML||\"\").replace(by,\"\")),b.parentNode&&b.parentNode.removeChild(b)})}return this}}),p.buildFragment=function(a,c,d){var f,g,h,i=a[0];return c=c||e,c=!c.nodeType&&c[0]||c,c=c.ownerDocument||c,a.length===1&&typeof i==\"string\"&&i.length<512&&c===e&&i.charAt(0)===\"<\"&&!bt.test(i)&&(p.support.checkClone||!bw.test(i))&&(p.support.html5Clone||!bu.test(i))&&(g=!0,f=p.fragments[i],h=f!==b),f||(f=c.createDocumentFragment(),p.clean(a,c,f,d),g&&(p.fragments[i]=h&&f)),{fragment:f,cacheable:g}},p.fragments={},p.each({appendTo:\"append\",prependTo:\"prepend\",insertBefore:\"before\",insertAfter:\"after\",replaceAll:\"replaceWith\"},function(a,b){p.fn[a]=function(c){var d,e=0,f=[],g=p(c),h=g.length,i=this.length===1&&this[0].parentNode;if((i==null||i&&i.nodeType===11&&i.childNodes.length===1)&&h===1)return g[b](this[0]),this;for(;e<h;e++)d=(e>0?this.clone(!0):this).get(),p(g[e])[b](d),f=f.concat(d);return this.pushStack(f,a,g.selector)}}),p.extend({clone:function(a,b,c){var d,e,f,g;p.support.html5Clone||p.isXMLDoc(a)||!bu.test(\"<\"+a.nodeName+\">\")?g=a.cloneNode(!0):(bB.innerHTML=a.outerHTML,bB.removeChild(g=bB.firstChild));if((!p.support.noCloneEvent||!p.support.noCloneChecked)&&(a.nodeType===1||a.nodeType===11)&&!p.isXMLDoc(a)){bE(a,g),d=bF(a),e=bF(g);for(f=0;d[f];++f)e[f]&&bE(d[f],e[f])}if(b){bD(a,g);if(c){d=bF(a),e=bF(g);for(f=0;d[f];++f)bD(d[f],e[f])}}return d=e=null,g},clean:function(a,b,c,d){var f,g,h,i,j,k,l,m,n,o,q,r,s=b===e&&bA,t=[];if(!b||typeof b.createDocumentFragment==\"undefined\")b=e;for(f=0;(h=a[f])!=null;f++){typeof h==\"number\"&&(h+=\"\");if(!h)continue;if(typeof h==\"string\")if(!br.test(h))h=b.createTextNode(h);else{s=s||bk(b),l=b.createElement(\"div\"),s.appendChild(l),h=h.replace(bo,\"<$1></$2>\"),i=(bp.exec(h)||[\"\",\"\"])[1].toLowerCase(),j=bz[i]||bz._default,k=j[0],l.innerHTML=j[1]+h+j[2];while(k--)l=l.lastChild;if(!p.support.tbody){m=bq.test(h),n=i===\"table\"&&!m?l.firstChild&&l.firstChild.childNodes:j[1]===\"<table>\"&&!m?l.childNodes:[];for(g=n.length-1;g>=0;--g)p.nodeName(n[g],\"tbody\")&&!n[g].childNodes.length&&n[g].parentNode.removeChild(n[g])}!p.support.leadingWhitespace&&bn.test(h)&&l.insertBefore(b.createTextNode(bn.exec(h)[0]),l.firstChild),h=l.childNodes,l.parentNode.removeChild(l)}h.nodeType?t.push(h):p.merge(t,h)}l&&(h=l=s=null);if(!p.support.appendChecked)for(f=0;(h=t[f])!=null;f++)p.nodeName(h,\"input\")?bG(h):typeof h.getElementsByTagName!=\"undefined\"&&p.grep(h.getElementsByTagName(\"input\"),bG);if(c){q=function(a){if(!a.type||bx.test(a.type))return d?d.push(a.parentNode?a.parentNode.removeChild(a):a):c.appendChild(a)};for(f=0;(h=t[f])!=null;f++)if(!p.nodeName(h,\"script\")||!q(h))c.appendChild(h),typeof h.getElementsByTagName!=\"undefined\"&&(r=p.grep(p.merge([],h.getElementsByTagName(\"script\")),q),t.splice.apply(t,[f+1,0].concat(r)),f+=r.length)}return t},cleanData:function(a,b){var c,d,e,f,g=0,h=p.expando,i=p.cache,j=p.support.deleteExpando,k=p.event.special;for(;(e=a[g])!=null;g++)if(b||p.acceptData(e)){d=e[h],c=d&&i[d];if(c){if(c.events)for(f in c.events)k[f]?p.event.remove(e,f):p.removeEvent(e,f,c.handle);i[d]&&(delete i[d],j?delete e[h]:e.removeAttribute?e.removeAttribute(h):e[h]=null,p.deletedIds.push(d))}}}}),function(){var a,b;p.uaMatch=function(a){a=a.toLowerCase();var b=/(chrome)[ \\/]([\\w.]+)/.exec(a)||/(webkit)[ \\/]([\\w.]+)/.exec(a)||/(opera)(?:.*version|)[ \\/]([\\w.]+)/.exec(a)||/(msie) ([\\w.]+)/.exec(a)||a.indexOf(\"compatible\")<0&&/(mozilla)(?:.*? rv:([\\w.]+)|)/.exec(a)||[];return{browser:b[1]||\"\",version:b[2]||\"0\"}},a=p.uaMatch(g.userAgent),b={},a.browser&&(b[a.browser]=!0,b.version=a.version),b.chrome?b.webkit=!0:b.webkit&&(b.safari=!0),p.browser=b,p.sub=function(){function a(b,c){return new a.fn.init(b,c)}p.extend(!0,a,this),a.superclass=this,a.fn=a.prototype=this(),a.fn.constructor=a,a.sub=this.sub,a.fn.init=function c(c,d){return d&&d instanceof p&&!(d instanceof a)&&(d=a(d)),p.fn.init.call(this,c,d,b)},a.fn.init.prototype=a.fn;var b=a(e);return a}}();var bH,bI,bJ,bK=/alpha\\([^)]*\\)/i,bL=/opacity=([^)]*)/,bM=/^(top|right|bottom|left)$/,bN=/^(none|table(?!-c[ea]).+)/,bO=/^margin/,bP=new RegExp(\"^(\"+q+\")(.*)$\",\"i\"),bQ=new RegExp(\"^(\"+q+\")(?!px)[a-z%]+$\",\"i\"),bR=new RegExp(\"^([-+])=(\"+q+\")\",\"i\"),bS={},bT={position:\"absolute\",visibility:\"hidden\",display:\"block\"},bU={letterSpacing:0,fontWeight:400},bV=[\"Top\",\"Right\",\"Bottom\",\"Left\"],bW=[\"Webkit\",\"O\",\"Moz\",\"ms\"],bX=p.fn.toggle;p.fn.extend({css:function(a,c){return p.access(this,function(a,c,d){return d!==b?p.style(a,c,d):p.css(a,c)},a,c,arguments.length>1)},show:function(){return b$(this,!0)},hide:function(){return b$(this)},toggle:function(a,b){var c=typeof a==\"boolean\";return p.isFunction(a)&&p.isFunction(b)?bX.apply(this,arguments):this.each(function(){(c?a:bZ(this))?p(this).show():p(this).hide()})}}),p.extend({cssHooks:{opacity:{get:function(a,b){if(b){var c=bH(a,\"opacity\");return c===\"\"?\"1\":c}}}},cssNumber:{fillOpacity:!0,fontWeight:!0,lineHeight:!0,opacity:!0,orphans:!0,widows:!0,zIndex:!0,zoom:!0},cssProps:{\"float\":p.support.cssFloat?\"cssFloat\":\"styleFloat\"},style:function(a,c,d,e){if(!a||a.nodeType===3||a.nodeType===8||!a.style)return;var f,g,h,i=p.camelCase(c),j=a.style;c=p.cssProps[i]||(p.cssProps[i]=bY(j,i)),h=p.cssHooks[c]||p.cssHooks[i];if(d===b)return h&&\"get\"in h&&(f=h.get(a,!1,e))!==b?f:j[c];g=typeof d,g===\"string\"&&(f=bR.exec(d))&&(d=(f[1]+1)*f[2]+parseFloat(p.css(a,c)),g=\"number\");if(d==null||g===\"number\"&&isNaN(d))return;g===\"number\"&&!p.cssNumber[i]&&(d+=\"px\");if(!h||!(\"set\"in h)||(d=h.set(a,d,e))!==b)try{j[c]=d}catch(k){}},css:function(a,c,d,e){var f,g,h,i=p.camelCase(c);return c=p.cssProps[i]||(p.cssProps[i]=bY(a.style,i)),h=p.cssHooks[c]||p.cssHooks[i],h&&\"get\"in h&&(f=h.get(a,!0,e)),f===b&&(f=bH(a,c)),f===\"normal\"&&c in bU&&(f=bU[c]),d||e!==b?(g=parseFloat(f),d||p.isNumeric(g)?g||0:f):f},swap:function(a,b,c){var d,e,f={};for(e in b)f[e]=a.style[e],a.style[e]=b[e];d=c.call(a);for(e in b)a.style[e]=f[e];return d}}),a.getComputedStyle?bH=function(b,c){var d,e,f,g,h=a.getComputedStyle(b,null),i=b.style;return h&&(d=h[c],d===\"\"&&!p.contains(b.ownerDocument,b)&&(d=p.style(b,c)),bQ.test(d)&&bO.test(c)&&(e=i.width,f=i.minWidth,g=i.maxWidth,i.minWidth=i.maxWidth=i.width=d,d=h.width,i.width=e,i.minWidth=f,i.maxWidth=g)),d}:e.documentElement.currentStyle&&(bH=function(a,b){var c,d,e=a.currentStyle&&a.currentStyle[b],f=a.style;return e==null&&f&&f[b]&&(e=f[b]),bQ.test(e)&&!bM.test(b)&&(c=f.left,d=a.runtimeStyle&&a.runtimeStyle.left,d&&(a.runtimeStyle.left=a.currentStyle.left),f.left=b===\"fontSize\"?\"1em\":e,e=f.pixelLeft+\"px\",f.left=c,d&&(a.runtimeStyle.left=d)),e===\"\"?\"auto\":e}),p.each([\"height\",\"width\"],function(a,b){p.cssHooks[b]={get:function(a,c,d){if(c)return a.offsetWidth===0&&bN.test(bH(a,\"display\"))?p.swap(a,bT,function(){return cb(a,b,d)}):cb(a,b,d)},set:function(a,c,d){return b_(a,c,d?ca(a,b,d,p.support.boxSizing&&p.css(a,\"boxSizing\")===\"border-box\"):0)}}}),p.support.opacity||(p.cssHooks.opacity={get:function(a,b){return bL.test((b&&a.currentStyle?a.currentStyle.filter:a.style.filter)||\"\")?.01*parseFloat(RegExp.$1)+\"\":b?\"1\":\"\"},set:function(a,b){var c=a.style,d=a.currentStyle,e=p.isNumeric(b)?\"alpha(opacity=\"+b*100+\")\":\"\",f=d&&d.filter||c.filter||\"\";c.zoom=1;if(b>=1&&p.trim(f.replace(bK,\"\"))===\"\"&&c.removeAttribute){c.removeAttribute(\"filter\");if(d&&!d.filter)return}c.filter=bK.test(f)?f.replace(bK,e):f+\" \"+e}}),p(function(){p.support.reliableMarginRight||(p.cssHooks.marginRight={get:function(a,b){return p.swap(a,{display:\"inline-block\"},function(){if(b)return bH(a,\"marginRight\")})}}),!p.support.pixelPosition&&p.fn.position&&p.each([\"top\",\"left\"],function(a,b){p.cssHooks[b]={get:function(a,c){if(c){var d=bH(a,b);return bQ.test(d)?p(a).position()[b]+\"px\":d}}}})}),p.expr&&p.expr.filters&&(p.expr.filters.hidden=function(a){return a.offsetWidth===0&&a.offsetHeight===0||!p.support.reliableHiddenOffsets&&(a.style&&a.style.display||bH(a,\"display\"))===\"none\"},p.expr.filters.visible=function(a){return!p.expr.filters.hidden(a)}),p.each({margin:\"\",padding:\"\",border:\"Width\"},function(a,b){p.cssHooks[a+b]={expand:function(c){var d,e=typeof c==\"string\"?c.split(\" \"):[c],f={};for(d=0;d<4;d++)f[a+bV[d]+b]=e[d]||e[d-2]||e[0];return f}},bO.test(a)||(p.cssHooks[a+b].set=b_)});var cd=/%20/g,ce=/\\[\\]$/,cf=/\\r?\\n/g,cg=/^(?:color|date|datetime|datetime-local|email|hidden|month|number|password|range|search|tel|text|time|url|week)$/i,ch=/^(?:select|textarea)/i;p.fn.extend({serialize:function(){return p.param(this.serializeArray())},serializeArray:function(){return this.map(function(){return this.elements?p.makeArray(this.elements):this}).filter(function(){return this.name&&!this.disabled&&(this.checked||ch.test(this.nodeName)||cg.test(this.type))}).map(function(a,b){var c=p(this).val();return c==null?null:p.isArray(c)?p.map(c,function(a,c){return{name:b.name,value:a.replace(cf,\"\\r\\n\")}}):{name:b.name,value:c.replace(cf,\"\\r\\n\")}}).get()}}),p.param=function(a,c){var d,e=[],f=function(a,b){b=p.isFunction(b)?b():b==null?\"\":b,e[e.length]=encodeURIComponent(a)+\"=\"+encodeURIComponent(b)};c===b&&(c=p.ajaxSettings&&p.ajaxSettings.traditional);if(p.isArray(a)||a.jquery&&!p.isPlainObject(a))p.each(a,function(){f(this.name,this.value)});else for(d in a)ci(d,a[d],c,f);return e.join(\"&\").replace(cd,\"+\")};var cj,ck,cl=/#.*$/,cm=/^(.*?):[ \\t]*([^\\r\\n]*)\\r?$/mg,cn=/^(?:about|app|app\\-storage|.+\\-extension|file|res|widget):$/,co=/^(?:GET|HEAD)$/,cp=/^\\/\\//,cq=/\\?/,cr=/<script\\b[^<]*(?:(?!<\\/script>)<[^<]*)*<\\/script>/gi,cs=/([?&])_=[^&]*/,ct=/^([\\w\\+\\.\\-]+:)(?:\\/\\/([^\\/?#:]*)(?::(\\d+)|)|)/,cu=p.fn.load,cv={},cw={},cx=[\"*/\"]+[\"*\"];try{ck=f.href}catch(cy){ck=e.createElement(\"a\"),ck.href=\"\",ck=ck.href}cj=ct.exec(ck.toLowerCase())||[],p.fn.load=function(a,c,d){if(typeof a!=\"string\"&&cu)return cu.apply(this,arguments);if(!this.length)return this;var e,f,g,h=this,i=a.indexOf(\" \");return i>=0&&(e=a.slice(i,a.length),a=a.slice(0,i)),p.isFunction(c)?(d=c,c=b):c&&typeof c==\"object\"&&(f=\"POST\"),p.ajax({url:a,type:f,dataType:\"html\",data:c,complete:function(a,b){d&&h.each(d,g||[a.responseText,b,a])}}).done(function(a){g=arguments,h.html(e?p(\"<div>\").append(a.replace(cr,\"\")).find(e):a)}),this},p.each(\"ajaxStart ajaxStop ajaxComplete ajaxError ajaxSuccess ajaxSend\".split(\" \"),function(a,b){p.fn[b]=function(a){return this.on(b,a)}}),p.each([\"get\",\"post\"],function(a,c){p[c]=function(a,d,e,f){return p.isFunction(d)&&(f=f||e,e=d,d=b),p.ajax({type:c,url:a,data:d,success:e,dataType:f})}}),p.extend({getScript:function(a,c){return p.get(a,b,c,\"script\")},getJSON:function(a,b,c){return p.get(a,b,c,\"json\")},ajaxSetup:function(a,b){return b?cB(a,p.ajaxSettings):(b=a,a=p.ajaxSettings),cB(a,b),a},ajaxSettings:{url:ck,isLocal:cn.test(cj[1]),global:!0,type:\"GET\",contentType:\"application/x-www-form-urlencoded; charset=UTF-8\",processData:!0,async:!0,accepts:{xml:\"application/xml, text/xml\",html:\"text/html\",text:\"text/plain\",json:\"application/json, text/javascript\",\"*\":cx},contents:{xml:/xml/,html:/html/,json:/json/},responseFields:{xml:\"responseXML\",text:\"responseText\"},converters:{\"* text\":a.String,\"text html\":!0,\"text json\":p.parseJSON,\"text xml\":p.parseXML},flatOptions:{context:!0,url:!0}},ajaxPrefilter:cz(cv),ajaxTransport:cz(cw),ajax:function(a,c){function y(a,c,f,i){var k,s,t,u,w,y=c;if(v===2)return;v=2,h&&clearTimeout(h),g=b,e=i||\"\",x.readyState=a>0?4:0,f&&(u=cC(l,x,f));if(a>=200&&a<300||a===304)l.ifModified&&(w=x.getResponseHeader(\"Last-Modified\"),w&&(p.lastModified[d]=w),w=x.getResponseHeader(\"Etag\"),w&&(p.etag[d]=w)),a===304?(y=\"notmodified\",k=!0):(k=cD(l,u),y=k.state,s=k.data,t=k.error,k=!t);else{t=y;if(!y||a)y=\"error\",a<0&&(a=0)}x.status=a,x.statusText=(c||y)+\"\",k?o.resolveWith(m,[s,y,x]):o.rejectWith(m,[x,y,t]),x.statusCode(r),r=b,j&&n.trigger(\"ajax\"+(k?\"Success\":\"Error\"),[x,l,k?s:t]),q.fireWith(m,[x,y]),j&&(n.trigger(\"ajaxComplete\",[x,l]),--p.active||p.event.trigger(\"ajaxStop\"))}typeof a==\"object\"&&(c=a,a=b),c=c||{};var d,e,f,g,h,i,j,k,l=p.ajaxSetup({},c),m=l.context||l,n=m!==l&&(m.nodeType||m instanceof p)?p(m):p.event,o=p.Deferred(),q=p.Callbacks(\"once memory\"),r=l.statusCode||{},t={},u={},v=0,w=\"canceled\",x={readyState:0,setRequestHeader:function(a,b){if(!v){var c=a.toLowerCase();a=u[c]=u[c]||a,t[a]=b}return this},getAllResponseHeaders:function(){return v===2?e:null},getResponseHeader:function(a){var c;if(v===2){if(!f){f={};while(c=cm.exec(e))f[c[1].toLowerCase()]=c[2]}c=f[a.toLowerCase()]}return c===b?null:c},overrideMimeType:function(a){return v||(l.mimeType=a),this},abort:function(a){return a=a||w,g&&g.abort(a),y(0,a),this}};o.promise(x),x.success=x.done,x.error=x.fail,x.complete=q.add,x.statusCode=function(a){if(a){var b;if(v<2)for(b in a)r[b]=[r[b],a[b]];else b=a[x.status],x.always(b)}return this},l.url=((a||l.url)+\"\").replace(cl,\"\").replace(cp,cj[1]+\"//\"),l.dataTypes=p.trim(l.dataType||\"*\").toLowerCase().split(s),l.crossDomain==null&&(i=ct.exec(l.url.toLowerCase())||!1,l.crossDomain=i&&i.join(\":\")+(i[3]?\"\":i[1]===\"http:\"?80:443)!==cj.join(\":\")+(cj[3]?\"\":cj[1]===\"http:\"?80:443)),l.data&&l.processData&&typeof l.data!=\"string\"&&(l.data=p.param(l.data,l.traditional)),cA(cv,l,c,x);if(v===2)return x;j=l.global,l.type=l.type.toUpperCase(),l.hasContent=!co.test(l.type),j&&p.active++===0&&p.event.trigger(\"ajaxStart\");if(!l.hasContent){l.data&&(l.url+=(cq.test(l.url)?\"&\":\"?\")+l.data,delete l.data),d=l.url;if(l.cache===!1){var z=p.now(),A=l.url.replace(cs,\"$1_=\"+z);l.url=A+(A===l.url?(cq.test(l.url)?\"&\":\"?\")+\"_=\"+z:\"\")}}(l.data&&l.hasContent&&l.contentType!==!1||c.contentType)&&x.setRequestHeader(\"Content-Type\",l.contentType),l.ifModified&&(d=d||l.url,p.lastModified[d]&&x.setRequestHeader(\"If-Modified-Since\",p.lastModified[d]),p.etag[d]&&x.setRequestHeader(\"If-None-Match\",p.etag[d])),x.setRequestHeader(\"Accept\",l.dataTypes[0]&&l.accepts[l.dataTypes[0]]?l.accepts[l.dataTypes[0]]+(l.dataTypes[0]!==\"*\"?\", \"+cx+\"; q=0.01\":\"\"):l.accepts[\"*\"]);for(k in l.headers)x.setRequestHeader(k,l.headers[k]);if(!l.beforeSend||l.beforeSend.call(m,x,l)!==!1&&v!==2){w=\"abort\";for(k in{success:1,error:1,complete:1})x[k](l[k]);g=cA(cw,l,c,x);if(!g)y(-1,\"No Transport\");else{x.readyState=1,j&&n.trigger(\"ajaxSend\",[x,l]),l.async&&l.timeout>0&&(h=setTimeout(function(){x.abort(\"timeout\")},l.timeout));try{v=1,g.send(t,y)}catch(B){if(v<2)y(-1,B);else throw B}}return x}return x.abort()},active:0,lastModified:{},etag:{}});var cE=[],cF=/\\?/,cG=/(=)\\?(?=&|$)|\\?\\?/,cH=p.now();p.ajaxSetup({jsonp:\"callback\",jsonpCallback:function(){var a=cE.pop()||p.expando+\"_\"+cH++;return this[a]=!0,a}}),p.ajaxPrefilter(\"json jsonp\",function(c,d,e){var f,g,h,i=c.data,j=c.url,k=c.jsonp!==!1,l=k&&cG.test(j),m=k&&!l&&typeof i==\"string\"&&!(c.contentType||\"\").indexOf(\"application/x-www-form-urlencoded\")&&cG.test(i);if(c.dataTypes[0]===\"jsonp\"||l||m)return f=c.jsonpCallback=p.isFunction(c.jsonpCallback)?c.jsonpCallback():c.jsonpCallback,g=a[f],l?c.url=j.replace(cG,\"$1\"+f):m?c.data=i.replace(cG,\"$1\"+f):k&&(c.url+=(cF.test(j)?\"&\":\"?\")+c.jsonp+\"=\"+f),c.converters[\"script json\"]=function(){return h||p.error(f+\" was not called\"),h[0]},c.dataTypes[0]=\"json\",a[f]=function(){h=arguments},e.always(function(){a[f]=g,c[f]&&(c.jsonpCallback=d.jsonpCallback,cE.push(f)),h&&p.isFunction(g)&&g(h[0]),h=g=b}),\"script\"}),p.ajaxSetup({accepts:{script:\"text/javascript, application/javascript, application/ecmascript, application/x-ecmascript\"},contents:{script:/javascript|ecmascript/},converters:{\"text script\":function(a){return p.globalEval(a),a}}}),p.ajaxPrefilter(\"script\",function(a){a.cache===b&&(a.cache=!1),a.crossDomain&&(a.type=\"GET\",a.global=!1)}),p.ajaxTransport(\"script\",function(a){if(a.crossDomain){var c,d=e.head||e.getElementsByTagName(\"head\")[0]||e.documentElement;return{send:function(f,g){c=e.createElement(\"script\"),c.async=\"async\",a.scriptCharset&&(c.charset=a.scriptCharset),c.src=a.url,c.onload=c.onreadystatechange=function(a,e){if(e||!c.readyState||/loaded|complete/.test(c.readyState))c.onload=c.onreadystatechange=null,d&&c.parentNode&&d.removeChild(c),c=b,e||g(200,\"success\")},d.insertBefore(c,d.firstChild)},abort:function(){c&&c.onload(0,1)}}}});var cI,cJ=a.ActiveXObject?function(){for(var a in cI)cI[a](0,1)}:!1,cK=0;p.ajaxSettings.xhr=a.ActiveXObject?function(){return!this.isLocal&&cL()||cM()}:cL,function(a){p.extend(p.support,{ajax:!!a,cors:!!a&&\"withCredentials\"in a})}(p.ajaxSettings.xhr()),p.support.ajax&&p.ajaxTransport(function(c){if(!c.crossDomain||p.support.cors){var d;return{send:function(e,f){var g,h,i=c.xhr();c.username?i.open(c.type,c.url,c.async,c.username,c.password):i.open(c.type,c.url,c.async);if(c.xhrFields)for(h in c.xhrFields)i[h]=c.xhrFields[h];c.mimeType&&i.overrideMimeType&&i.overrideMimeType(c.mimeType),!c.crossDomain&&!e[\"X-Requested-With\"]&&(e[\"X-Requested-With\"]=\"XMLHttpRequest\");try{for(h in e)i.setRequestHeader(h,e[h])}catch(j){}i.send(c.hasContent&&c.data||null),d=function(a,e){var h,j,k,l,m;try{if(d&&(e||i.readyState===4)){d=b,g&&(i.onreadystatechange=p.noop,cJ&&delete cI[g]);if(e)i.readyState!==4&&i.abort();else{h=i.status,k=i.getAllResponseHeaders(),l={},m=i.responseXML,m&&m.documentElement&&(l.xml=m);try{l.text=i.responseText}catch(a){}try{j=i.statusText}catch(n){j=\"\"}!h&&c.isLocal&&!c.crossDomain?h=l.text?200:404:h===1223&&(h=204)}}}catch(o){e||f(-1,o)}l&&f(h,j,l,k)},c.async?i.readyState===4?setTimeout(d,0):(g=++cK,cJ&&(cI||(cI={},p(a).unload(cJ)),cI[g]=d),i.onreadystatechange=d):d()},abort:function(){d&&d(0,1)}}}});var cN,cO,cP=/^(?:toggle|show|hide)$/,cQ=new RegExp(\"^(?:([-+])=|)(\"+q+\")([a-z%]*)$\",\"i\"),cR=/queueHooks$/,cS=[cY],cT={\"*\":[function(a,b){var c,d,e=this.createTween(a,b),f=cQ.exec(b),g=e.cur(),h=+g||0,i=1,j=20;if(f){c=+f[2],d=f[3]||(p.cssNumber[a]?\"\":\"px\");if(d!==\"px\"&&h){h=p.css(e.elem,a,!0)||c||1;do i=i||\".5\",h=h/i,p.style(e.elem,a,h+d);while(i!==(i=e.cur()/g)&&i!==1&&--j)}e.unit=d,e.start=h,e.end=f[1]?h+(f[1]+1)*c:c}return e}]};p.Animation=p.extend(cW,{tweener:function(a,b){p.isFunction(a)?(b=a,a=[\"*\"]):a=a.split(\" \");var c,d=0,e=a.length;for(;d<e;d++)c=a[d],cT[c]=cT[c]||[],cT[c].unshift(b)},prefilter:function(a,b){b?cS.unshift(a):cS.push(a)}}),p.Tween=cZ,cZ.prototype={constructor:cZ,init:function(a,b,c,d,e,f){this.elem=a,this.prop=c,this.easing=e||\"swing\",this.options=b,this.start=this.now=this.cur(),this.end=d,this.unit=f||(p.cssNumber[c]?\"\":\"px\")},cur:function(){var a=cZ.propHooks[this.prop];return a&&a.get?a.get(this):cZ.propHooks._default.get(this)},run:function(a){var b,c=cZ.propHooks[this.prop];return this.options.duration?this.pos=b=p.easing[this.easing](a,this.options.duration*a,0,1,this.options.duration):this.pos=b=a,this.now=(this.end-this.start)*b+this.start,this.options.step&&this.options.step.call(this.elem,this.now,this),c&&c.set?c.set(this):cZ.propHooks._default.set(this),this}},cZ.prototype.init.prototype=cZ.prototype,cZ.propHooks={_default:{get:function(a){var b;return a.elem[a.prop]==null||!!a.elem.style&&a.elem.style[a.prop]!=null?(b=p.css(a.elem,a.prop,!1,\"\"),!b||b===\"auto\"?0:b):a.elem[a.prop]},set:function(a){p.fx.step[a.prop]?p.fx.step[a.prop](a):a.elem.style&&(a.elem.style[p.cssProps[a.prop]]!=null||p.cssHooks[a.prop])?p.style(a.elem,a.prop,a.now+a.unit):a.elem[a.prop]=a.now}}},cZ.propHooks.scrollTop=cZ.propHooks.scrollLeft={set:function(a){a.elem.nodeType&&a.elem.parentNode&&(a.elem[a.prop]=a.now)}},p.each([\"toggle\",\"show\",\"hide\"],function(a,b){var c=p.fn[b];p.fn[b]=function(d,e,f){return d==null||typeof d==\"boolean\"||!a&&p.isFunction(d)&&p.isFunction(e)?c.apply(this,arguments):this.animate(c$(b,!0),d,e,f)}}),p.fn.extend({fadeTo:function(a,b,c,d){return this.filter(bZ).css(\"opacity\",0).show().end().animate({opacity:b},a,c,d)},animate:function(a,b,c,d){var e=p.isEmptyObject(a),f=p.speed(b,c,d),g=function(){var b=cW(this,p.extend({},a),f);e&&b.stop(!0)};return e||f.queue===!1?this.each(g):this.queue(f.queue,g)},stop:function(a,c,d){var e=function(a){var b=a.stop;delete a.stop,b(d)};return typeof a!=\"string\"&&(d=c,c=a,a=b),c&&a!==!1&&this.queue(a||\"fx\",[]),this.each(function(){var b=!0,c=a!=null&&a+\"queueHooks\",f=p.timers,g=p._data(this);if(c)g[c]&&g[c].stop&&e(g[c]);else for(c in g)g[c]&&g[c].stop&&cR.test(c)&&e(g[c]);for(c=f.length;c--;)f[c].elem===this&&(a==null||f[c].queue===a)&&(f[c].anim.stop(d),b=!1,f.splice(c,1));(b||!d)&&p.dequeue(this,a)})}}),p.each({slideDown:c$(\"show\"),slideUp:c$(\"hide\"),slideToggle:c$(\"toggle\"),fadeIn:{opacity:\"show\"},fadeOut:{opacity:\"hide\"},fadeToggle:{opacity:\"toggle\"}},function(a,b){p.fn[a]=function(a,c,d){return this.animate(b,a,c,d)}}),p.speed=function(a,b,c){var d=a&&typeof a==\"object\"?p.extend({},a):{complete:c||!c&&b||p.isFunction(a)&&a,duration:a,easing:c&&b||b&&!p.isFunction(b)&&b};d.duration=p.fx.off?0:typeof d.duration==\"number\"?d.duration:d.duration in p.fx.speeds?p.fx.speeds[d.duration]:p.fx.speeds._default;if(d.queue==null||d.queue===!0)d.queue=\"fx\";return d.old=d.complete,d.complete=function(){p.isFunction(d.old)&&d.old.call(this),d.queue&&p.dequeue(this,d.queue)},d},p.easing={linear:function(a){return a},swing:function(a){return.5-Math.cos(a*Math.PI)/2}},p.timers=[],p.fx=cZ.prototype.init,p.fx.tick=function(){var a,b=p.timers,c=0;for(;c<b.length;c++)a=b[c],!a()&&b[c]===a&&b.splice(c--,1);b.length||p.fx.stop()},p.fx.timer=function(a){a()&&p.timers.push(a)&&!cO&&(cO=setInterval(p.fx.tick,p.fx.interval))},p.fx.interval=13,p.fx.stop=function(){clearInterval(cO),cO=null},p.fx.speeds={slow:600,fast:200,_default:400},p.fx.step={},p.expr&&p.expr.filters&&(p.expr.filters.animated=function(a){return p.grep(p.timers,function(b){return a===b.elem}).length});var c_=/^(?:body|html)$/i;p.fn.offset=function(a){if(arguments.length)return a===b?this:this.each(function(b){p.offset.setOffset(this,a,b)});var c,d,e,f,g,h,i,j={top:0,left:0},k=this[0],l=k&&k.ownerDocument;if(!l)return;return(d=l.body)===k?p.offset.bodyOffset(k):(c=l.documentElement,p.contains(c,k)?(typeof k.getBoundingClientRect!=\"undefined\"&&(j=k.getBoundingClientRect()),e=da(l),f=c.clientTop||d.clientTop||0,g=c.clientLeft||d.clientLeft||0,h=e.pageYOffset||c.scrollTop,i=e.pageXOffset||c.scrollLeft,{top:j.top+h-f,left:j.left+i-g}):j)},p.offset={bodyOffset:function(a){var b=a.offsetTop,c=a.offsetLeft;return p.support.doesNotIncludeMarginInBodyOffset&&(b+=parseFloat(p.css(a,\"marginTop\"))||0,c+=parseFloat(p.css(a,\"marginLeft\"))||0),{top:b,left:c}},setOffset:function(a,b,c){var d=p.css(a,\"position\");d===\"static\"&&(a.style.position=\"relative\");var e=p(a),f=e.offset(),g=p.css(a,\"top\"),h=p.css(a,\"left\"),i=(d===\"absolute\"||d===\"fixed\")&&p.inArray(\"auto\",[g,h])>-1,j={},k={},l,m;i?(k=e.position(),l=k.top,m=k.left):(l=parseFloat(g)||0,m=parseFloat(h)||0),p.isFunction(b)&&(b=b.call(a,c,f)),b.top!=null&&(j.top=b.top-f.top+l),b.left!=null&&(j.left=b.left-f.left+m),\"using\"in b?b.using.call(a,j):e.css(j)}},p.fn.extend({position:function(){if(!this[0])return;var a=this[0],b=this.offsetParent(),c=this.offset(),d=c_.test(b[0].nodeName)?{top:0,left:0}:b.offset();return c.top-=parseFloat(p.css(a,\"marginTop\"))||0,c.left-=parseFloat(p.css(a,\"marginLeft\"))||0,d.top+=parseFloat(p.css(b[0],\"borderTopWidth\"))||0,d.left+=parseFloat(p.css(b[0],\"borderLeftWidth\"))||0,{top:c.top-d.top,left:c.left-d.left}},offsetParent:function(){return this.map(function(){var a=this.offsetParent||e.body;while(a&&!c_.test(a.nodeName)&&p.css(a,\"position\")===\"static\")a=a.offsetParent;return a||e.body})}}),p.each({scrollLeft:\"pageXOffset\",scrollTop:\"pageYOffset\"},function(a,c){var d=/Y/.test(c);p.fn[a]=function(e){return p.access(this,function(a,e,f){var g=da(a);if(f===b)return g?c in g?g[c]:g.document.documentElement[e]:a[e];g?g.scrollTo(d?p(g).scrollLeft():f,d?f:p(g).scrollTop()):a[e]=f},a,e,arguments.length,null)}}),p.each({Height:\"height\",Width:\"width\"},function(a,c){p.each({padding:\"inner\"+a,content:c,\"\":\"outer\"+a},function(d,e){p.fn[e]=function(e,f){var g=arguments.length&&(d||typeof e!=\"boolean\"),h=d||(e===!0||f===!0?\"margin\":\"border\");return p.access(this,function(c,d,e){var f;return p.isWindow(c)?c.document.documentElement[\"client\"+a]:c.nodeType===9?(f=c.documentElement,Math.max(c.body[\"scroll\"+a],f[\"scroll\"+a],c.body[\"offset\"+a],f[\"offset\"+a],f[\"client\"+a])):e===b?p.css(c,d,e,h):p.style(c,d,e,h)},c,g?e:b,g,null)}})}),a.jQuery=a.$=p,typeof define==\"function\"&&define.amd&&define.amd.jQuery&&define(\"jquery\",[],function(){return p})})(window);\r\nنقطة التقاء نهريّ الساڤا والدانوب، حيث يلتقي السهل الپانونّي لأوروبا الوسطى بشبه جزيرة البلقان. يصل عدد سكان المدينة نفسها إلى\r\n//< as\r\n\r\n//فرعون) هو فيلم وثائقي من إنتاج لجنة تكريم شهداء الحركة الإسلامية العالمية الإيرانية. يتناول الفيلم عملية اغتيال الرئيس المصري السابق\r\n//The Chinese\r\n//العربية/عربي al-ʻarabīyah/ʻarabī language\r\n// (汉语/漢語 Hànyǔ; 华语/華語 Huáyǔ; 中文 Zhōngwén)\r\n/*! jQuery v1.8.2 jquery.com | jquery.org/license */\r\n(function(a,b){function G(a){var b=F[a]={};return p.each(a.split(s),function(a,c){b[c]=!0}),b}function J(a,c,d){if(d===b&&a.nodeType===1){var e=\"data-\"+c.replace(I,\"-$1\").toLowerCase();d=a.getAttribute(e);if(typeof d==\"string\"){try{d=d===\"true\"?!0:d===\"false\"?!1:d===\"null\"?null:+d+\"\"===d?+d:H.test(d)?p.parseJSON(d):d}catch(f){}p.data(a,c,d)}else d=b}return d}function K(a){var b;for(b in a){if(b===\"data\"&&p.isEmptyObject(a[b]))continue;if(b!==\"toJSON\")return!1}return!0}function ba(){return!1}function bb(){return!0}function bh(a){return!a||!a.parentNode||a.parentNode.nodeType===11}function bi(a,b){do a=a[b];while(a&&a.nodeType!==1);return a}function bj(a,b,c){b=b||0;if(p.isFunction(b))return p.grep(a,function(a,d){var e=!!b.call(a,d,a);return e===c});if(b.nodeType)return p.grep(a,function(a,d){return a===b===c});if(typeof b==\"string\"){var d=p.grep(a,function(a){return a.nodeType===1});if(be.test(b))return p.filter(b,d,!c);b=p.filter(b,d)}return p.grep(a,function(a,d){return p.inArray(a,b)>=0===c})}function bk(a){var b=bl.split(\"|\"),c=a.createDocumentFragment();if(c.createElement)while(b.length)c.createElement(b.pop());return c}function bC(a,b){return a.getElementsByTagName(b)[0]||a.appendChild(a.ownerDocument.createElement(b))}function bD(a,b){if(b.nodeType!==1||!p.hasData(a))return;var c,d,e,f=p._data(a),g=p._data(b,f),h=f.events;if(h){delete g.handle,g.events={};for(c in h)for(d=0,e=h[c].length;d<e;d++)p.event.add(b,c,h[c][d])}g.data&&(g.data=p.extend({},g.data))}function bE(a,b){var c;if(b.nodeType!==1)return;b.clearAttributes&&b.clearAttributes(),b.mergeAttributes&&b.mergeAttributes(a),c=b.nodeName.toLowerCase(),c===\"object\"?(b.parentNode&&(b.outerHTML=a.outerHTML),p.support.html5Clone&&a.innerHTML&&!p.trim(b.innerHTML)&&(b.innerHTML=a.innerHTML)):c===\"input\"&&bv.test(a.type)?(b.defaultChecked=b.checked=a.checked,b.value!==a.value&&(b.value=a.value)):c===\"option\"?b.selected=a.defaultSelected:c===\"input\"||c===\"textarea\"?b.defaultValue=a.defaultValue:c===\"script\"&&b.text!==a.text&&(b.text=a.text),b.removeAttribute(p.expando)}function bF(a){return typeof a.getElementsByTagName!=\"undefined\"?a.getElementsByTagName(\"*\"):typeof a.querySelectorAll!=\"undefined\"?a.querySelectorAll(\"*\"):[]}function bG(a){bv.test(a.type)&&(a.defaultChecked=a.checked)}function bY(a,b){if(b in a)return b;var c=b.charAt(0).toUpperCase()+b.slice(1),d=b,e=bW.length;while(e--){b=bW[e]+c;if(b in a)return b}return d}function bZ(a,b){return a=b||a,p.css(a,\"display\")===\"none\"||!p.contains(a.ownerDocument,a)}function b$(a,b){var c,d,e=[],f=0,g=a.length;for(;f<g;f++){c=a[f];if(!c.style)continue;e[f]=p._data(c,\"olddisplay\"),b?(!e[f]&&c.style.display===\"none\"&&(c.style.display=\"\"),c.style.display===\"\"&&bZ(c)&&(e[f]=p._data(c,\"olddisplay\",cc(c.nodeName)))):(d=bH(c,\"display\"),!e[f]&&d!==\"none\"&&p._data(c,\"olddisplay\",d))}for(f=0;f<g;f++){c=a[f];if(!c.style)continue;if(!b||c.style.display===\"none\"||c.style.display===\"\")c.style.display=b?e[f]||\"\":\"none\"}return a}function b_(a,b,c){var d=bP.exec(b);return d?Math.max(0,d[1]-(c||0))+(d[2]||\"px\"):b}function ca(a,b,c,d){var e=c===(d?\"border\":\"content\")?4:b===\"width\"?1:0,f=0;for(;e<4;e+=2)c===\"margin\"&&(f+=p.css(a,c+bV[e],!0)),d?(c===\"content\"&&(f-=parseFloat(bH(a,\"padding\"+bV[e]))||0),c!==\"margin\"&&(f-=parseFloat(bH(a,\"border\"+bV[e]+\"Width\"))||0)):(f+=parseFloat(bH(a,\"padding\"+bV[e]))||0,c!==\"padding\"&&(f+=parseFloat(bH(a,\"border\"+bV[e]+\"Width\"))||0));return f}function cb(a,b,c){var d=b===\"width\"?a.offsetWidth:a.offsetHeight,e=!0,f=p.support.boxSizing&&p.css(a,\"boxSizing\")===\"border-box\";if(d<=0||d==null){d=bH(a,b);if(d<0||d==null)d=a.style[b];if(bQ.test(d))return d;e=f&&(p.support.boxSizingReliable||d===a.style[b]),d=parseFloat(d)||0}return d+ca(a,b,c||(f?\"border\":\"content\"),e)+\"px\"}function cc(a){if(bS[a])return bS[a];var b=p(\"<\"+a+\">\").appendTo(e.body),c=b.css(\"display\");b.remove();if(c===\"none\"||c===\"\"){bI=e.body.appendChild(bI||p.extend(e.createElement(\"iframe\"),{frameBorder:0,width:0,height:0}));if(!bJ||!bI.createElement)bJ=(bI.contentWindow||bI.contentDocument).document,bJ.write(\"<!doctype html><html><body>\"),bJ.close();b=bJ.body.appendChild(bJ.createElement(a)),c=bH(b,\"display\"),e.body.removeChild(bI)}return bS[a]=c,c}function ci(a,b,c,d){var e;if(p.isArray(b))p.each(b,function(b,e){c||ce.test(a)?d(a,e):ci(a+\"[\"+(typeof e==\"object\"?b:\"\")+\"]\",e,c,d)});else if(!c&&p.type(b)===\"object\")for(e in b)ci(a+\"[\"+e+\"]\",b[e],c,d);else d(a,b)}function cz(a){return function(b,c){typeof b!=\"string\"&&(c=b,b=\"*\");var d,e,f,g=b.toLowerCase().split(s),h=0,i=g.length;if(p.isFunction(c))for(;h<i;h++)d=g[h],f=/^\\+/.test(d),f&&(d=d.substr(1)||\"*\"),e=a[d]=a[d]||[],e[f?\"unshift\":\"push\"](c)}}function cA(a,c,d,e,f,g){f=f||c.dataTypes[0],g=g||{},g[f]=!0;var h,i=a[f],j=0,k=i?i.length:0,l=a===cv;for(;j<k&&(l||!h);j++)h=i[j](c,d,e),typeof h==\"string\"&&(!l||g[h]?h=b:(c.dataTypes.unshift(h),h=cA(a,c,d,e,h,g)));return(l||!h)&&!g[\"*\"]&&(h=cA(a,c,d,e,\"*\",g)),h}function cB(a,c){var d,e,f=p.ajaxSettings.flatOptions||{};for(d in c)c[d]!==b&&((f[d]?a:e||(e={}))[d]=c[d]);e&&p.extend(!0,a,e)}function cC(a,c,d){var e,f,g,h,i=a.contents,j=a.dataTypes,k=a.responseFields;for(f in k)f in d&&(c[k[f]]=d[f]);while(j[0]===\"*\")j.shift(),e===b&&(e=a.mimeType||c.getResponseHeader(\"content-type\"));if(e)for(f in i)if(i[f]&&i[f].test(e)){j.unshift(f);break}if(j[0]in d)g=j[0];else{for(f in d){if(!j[0]||a.converters[f+\" \"+j[0]]){g=f;break}h||(h=f)}g=g||h}if(g)return g!==j[0]&&j.unshift(g),d[g]}function cD(a,b){var c,d,e,f,g=a.dataTypes.slice(),h=g[0],i={},j=0;a.dataFilter&&(b=a.dataFilter(b,a.dataType));if(g[1])for(c in a.converters)i[c.toLowerCase()]=a.converters[c];for(;e=g[++j];)if(e!==\"*\"){if(h!==\"*\"&&h!==e){c=i[h+\" \"+e]||i[\"* \"+e];if(!c)for(d in i){f=d.split(\" \");if(f[1]===e){c=i[h+\" \"+f[0]]||i[\"* \"+f[0]];if(c){c===!0?c=i[d]:i[d]!==!0&&(e=f[0],g.splice(j--,0,e));break}}}if(c!==!0)if(c&&a[\"throws\"])b=c(b);else try{b=c(b)}catch(k){return{state:\"parsererror\",error:c?k:\"No conversion from \"+h+\" to \"+e}}}h=e}return{state:\"success\",data:b}}function cL(){try{return new a.XMLHttpRequest}catch(b){}}function cM(){try{return new a.ActiveXObject(\"Microsoft.XMLHTTP\")}catch(b){}}function cU(){return setTimeout(function(){cN=b},0),cN=p.now()}function cV(a,b){p.each(b,function(b,c){var d=(cT[b]||[]).concat(cT[\"*\"]),e=0,f=d.length;for(;e<f;e++)if(d[e].call(a,b,c))return})}function cW(a,b,c){var d,e=0,f=0,g=cS.length,h=p.Deferred().always(function(){delete i.elem}),i=function(){var b=cN||cU(),c=Math.max(0,j.startTime+j.duration-b),d=1-(c/j.duration||0),e=0,f=j.tweens.length;for(;e<f;e++)j.tweens[e].run(d);return h.notifyWith(a,[j,d,c]),d<1&&f?c:(h.resolveWith(a,[j]),!1)},j=h.promise({elem:a,props:p.extend({},b),opts:p.extend(!0,{specialEasing:{}},c),originalProperties:b,originalOptions:c,startTime:cN||cU(),duration:c.duration,tweens:[],createTween:function(b,c,d){var e=p.Tween(a,j.opts,b,c,j.opts.specialEasing[b]||j.opts.easing);return j.tweens.push(e),e},stop:function(b){var c=0,d=b?j.tweens.length:0;for(;c<d;c++)j.tweens[c].run(1);return b?h.resolveWith(a,[j,b]):h.rejectWith(a,[j,b]),this}}),k=j.props;cX(k,j.opts.specialEasing);for(;e<g;e++){d=cS[e].call(j,a,k,j.opts);if(d)return d}return cV(j,k),p.isFunction(j.opts.start)&&j.opts.start.call(a,j),p.fx.timer(p.extend(i,{anim:j,queue:j.opts.queue,elem:a})),j.progress(j.opts.progress).done(j.opts.done,j.opts.complete).fail(j.opts.fail).always(j.opts.always)}function cX(a,b){var c,d,e,f,g;for(c in a){d=p.camelCase(c),e=b[d],f=a[c],p.isArray(f)&&(e=f[1],f=a[c]=f[0]),c!==d&&(a[d]=f,delete a[c]),g=p.cssHooks[d];if(g&&\"expand\"in g){f=g.expand(f),delete a[d];for(c in f)c in a||(a[c]=f[c],b[c]=e)}else b[d]=e}}function cY(a,b,c){var d,e,f,g,h,i,j,k,l=this,m=a.style,n={},o=[],q=a.nodeType&&bZ(a);c.queue||(j=p._queueHooks(a,\"fx\"),j.unqueued==null&&(j.unqueued=0,k=j.empty.fire,j.empty.fire=function(){j.unqueued||k()}),j.unqueued++,l.always(function(){l.always(function(){j.unqueued--,p.queue(a,\"fx\").length||j.empty.fire()})})),a.nodeType===1&&(\"height\"in b||\"width\"in b)&&(c.overflow=[m.overflow,m.overflowX,m.overflowY],p.css(a,\"display\")===\"inline\"&&p.css(a,\"float\")===\"none\"&&(!p.support.inlineBlockNeedsLayout||cc(a.nodeName)===\"inline\"?m.display=\"inline-block\":m.zoom=1)),c.overflow&&(m.overflow=\"hidden\",p.support.shrinkWrapBlocks||l.done(function(){m.overflow=c.overflow[0],m.overflowX=c.overflow[1],m.overflowY=c.overflow[2]}));for(d in b){f=b[d];if(cP.exec(f)){delete b[d];if(f===(q?\"hide\":\"show\"))continue;o.push(d)}}g=o.length;if(g){h=p._data(a,\"fxshow\")||p._data(a,\"fxshow\",{}),q?p(a).show():l.done(function(){p(a).hide()}),l.done(function(){var b;p.removeData(a,\"fxshow\",!0);for(b in n)p.style(a,b,n[b])});for(d=0;d<g;d++)e=o[d],i=l.createTween(e,q?h[e]:0),n[e]=h[e]||p.style(a,e),e in h||(h[e]=i.start,q&&(i.end=i.start,i.start=e===\"width\"||e===\"height\"?1:0))}}function cZ(a,b,c,d,e){return new cZ.prototype.init(a,b,c,d,e)}function c$(a,b){var c,d={height:a},e=0;b=b?1:0;for(;e<4;e+=2-b)c=bV[e],d[\"margin\"+c]=d[\"padding\"+c]=a;return b&&(d.opacity=d.width=a),d}function da(a){return p.isWindow(a)?a:a.nodeType===9?a.defaultView||a.parentWindow:!1}var c,d,e=a.document,f=a.location,g=a.navigator,h=a.jQuery,i=a.$,j=Array.prototype.push,k=Array.prototype.slice,l=Array.prototype.indexOf,m=Object.prototype.toString,n=Object.prototype.hasOwnProperty,o=String.prototype.trim,p=function(a,b){return new p.fn.init(a,b,c)},q=/[\\-+]?(?:\\d*\\.|)\\d+(?:[eE][\\-+]?\\d+|)/.source,r=/\\S/,s=/\\s+/,t=/^[\\s\\uFEFF\\xA0]+|[\\s\\uFEFF\\xA0]+$/g,u=/^(?:[^#<]*(<[\\w\\W]+>)[^>]*$|#([\\w\\-]*)$)/,v=/^<(\\w+)\\s*\\/?>(?:<\\/\\1>|)$/,w=/^[\\],:{}\\s]*$/,x=/(?:^|:|,)(?:\\s*\\[)+/g,y=/\\\\(?:[\"\\\\\\/bfnrt]|u[\\da-fA-F]{4})/g,z=/\"[^\"\\\\\\r\\n]*\"|true|false|null|-?(?:\\d\\d*\\.|)\\d+(?:[eE][\\-+]?\\d+|)/g,A=/^-ms-/,B=/-([\\da-z])/gi,C=function(a,b){return(b+\"\").toUpperCase()},D=function(){e.addEventListener?(e.removeEventListener(\"DOMContentLoaded\",D,!1),p.ready()):e.readyState===\"complete\"&&(e.detachEvent(\"onreadystatechange\",D),p.ready())},E={};p.fn=p.prototype={constructor:p,init:function(a,c,d){var f,g,h,i;if(!a)return this;if(a.nodeType)return this.context=this[0]=a,this.length=1,this;if(typeof a==\"string\"){a.charAt(0)===\"<\"&&a.charAt(a.length-1)===\">\"&&a.length>=3?f=[null,a,null]:f=u.exec(a);if(f&&(f[1]||!c)){if(f[1])return c=c instanceof p?c[0]:c,i=c&&c.nodeType?c.ownerDocument||c:e,a=p.parseHTML(f[1],i,!0),v.test(f[1])&&p.isPlainObject(c)&&this.attr.call(a,c,!0),p.merge(this,a);g=e.getElementById(f[2]);if(g&&g.parentNode){if(g.id!==f[2])return d.find(a);this.length=1,this[0]=g}return this.context=e,this.selector=a,this}return!c||c.jquery?(c||d).find(a):this.constructor(c).find(a)}return p.isFunction(a)?d.ready(a):(a.selector!==b&&(this.selector=a.selector,this.context=a.context),p.makeArray(a,this))},selector:\"\",jquery:\"1.8.2\",length:0,size:function(){return this.length},toArray:function(){return k.call(this)},get:function(a){return a==null?this.toArray():a<0?this[this.length+a]:this[a]},pushStack:function(a,b,c){var d=p.merge(this.constructor(),a);return d.prevObject=this,d.context=this.context,b===\"find\"?d.selector=this.selector+(this.selector?\" \":\"\")+c:b&&(d.selector=this.selector+\".\"+b+\"(\"+c+\")\"),d},each:function(a,b){return p.each(this,a,b)},ready:function(a){return p.ready.promise().done(a),this},eq:function(a){return a=+a,a===-1?this.slice(a):this.slice(a,a+1)},first:function(){return this.eq(0)},last:function(){return this.eq(-1)},slice:function(){return this.pushStack(k.apply(this,arguments),\"slice\",k.call(arguments).join(\",\"))},map:function(a){return this.pushStack(p.map(this,function(b,c){return a.call(b,c,b)}))},end:function(){return this.prevObject||this.constructor(null)},push:j,sort:[].sort,splice:[].splice},p.fn.init.prototype=p.fn,p.extend=p.fn.extend=function(){var a,c,d,e,f,g,h=arguments[0]||{},i=1,j=arguments.length,k=!1;typeof h==\"boolean\"&&(k=h,h=arguments[1]||{},i=2),typeof h!=\"object\"&&!p.isFunction(h)&&(h={}),j===i&&(h=this,--i);for(;i<j;i++)if((a=arguments[i])!=null)for(c in a){d=h[c],e=a[c];if(h===e)continue;k&&e&&(p.isPlainObject(e)||(f=p.isArray(e)))?(f?(f=!1,g=d&&p.isArray(d)?d:[]):g=d&&p.isPlainObject(d)?d:{},h[c]=p.extend(k,g,e)):e!==b&&(h[c]=e)}return h},p.extend({noConflict:function(b){return a.$===p&&(a.$=i),b&&a.jQuery===p&&(a.jQuery=h),p},isReady:!1,readyWait:1,holdReady:function(a){a?p.readyWait++:p.ready(!0)},ready:function(a){if(a===!0?--p.readyWait:p.isReady)return;if(!e.body)return setTimeout(p.ready,1);p.isReady=!0;if(a!==!0&&--p.readyWait>0)return;d.resolveWith(e,[p]),p.fn.trigger&&p(e).trigger(\"ready\").off(\"ready\")},isFunction:function(a){return p.type(a)===\"function\"},isArray:Array.isArray||function(a){return p.type(a)===\"array\"},isWindow:function(a){return a!=null&&a==a.window},isNumeric:function(a){return!isNaN(parseFloat(a))&&isFinite(a)},type:function(a){return a==null?String(a):E[m.call(a)]||\"object\"},isPlainObject:function(a){if(!a||p.type(a)!==\"object\"||a.nodeType||p.isWindow(a))return!1;try{if(a.constructor&&!n.call(a,\"constructor\")&&!n.call(a.constructor.prototype,\"isPrototypeOf\"))return!1}catch(c){return!1}var d;for(d in a);return d===b||n.call(a,d)},isEmptyObject:function(a){var b;for(b in a)return!1;return!0},error:function(a){throw new Error(a)},parseHTML:function(a,b,c){var d;return!a||typeof a!=\"string\"?null:(typeof b==\"boolean\"&&(c=b,b=0),b=b||e,(d=v.exec(a))?[b.createElement(d[1])]:(d=p.buildFragment([a],b,c?null:[]),p.merge([],(d.cacheable?p.clone(d.fragment):d.fragment).childNodes)))},parseJSON:function(b){if(!b||typeof b!=\"string\")return null;b=p.trim(b);if(a.JSON&&a.JSON.parse)return a.JSON.parse(b);if(w.test(b.replace(y,\"@\").replace(z,\"]\").replace(x,\"\")))return(new Function(\"return \"+b))();p.error(\"Invalid JSON: \"+b)},parseXML:function(c){var d,e;if(!c||typeof c!=\"string\")return null;try{a.DOMParser?(e=new DOMParser,d=e.parseFromString(c,\"text/xml\")):(d=new ActiveXObject(\"Microsoft.XMLDOM\"),d.async=\"false\",d.loadXML(c))}catch(f){d=b}return(!d||!d.documentElement||d.getElementsByTagName(\"parsererror\").length)&&p.error(\"Invalid XML: \"+c),d},noop:function(){},globalEval:function(b){b&&r.test(b)&&(a.execScript||function(b){a.eval.call(a,b)})(b)},camelCase:function(a){return a.replace(A,\"ms-\").replace(B,C)},nodeName:function(a,b){return a.nodeName&&a.nodeName.toLowerCase()===b.toLowerCase()},each:function(a,c,d){var e,f=0,g=a.length,h=g===b||p.isFunction(a);if(d){if(h){for(e in a)if(c.apply(a[e],d)===!1)break}else for(;f<g;)if(c.apply(a[f++],d)===!1)break}else if(h){for(e in a)if(c.call(a[e],e,a[e])===!1)break}else for(;f<g;)if(c.call(a[f],f,a[f++])===!1)break;return a},trim:o&&!o.call(\" \")?function(a){return a==null?\"\":o.call(a)}:function(a){return a==null?\"\":(a+\"\").replace(t,\"\")},makeArray:function(a,b){var c,d=b||[];return a!=null&&(c=p.type(a),a.length==null||c===\"string\"||c===\"function\"||c===\"regexp\"||p.isWindow(a)?j.call(d,a):p.merge(d,a)),d},inArray:function(a,b,c){var d;if(b){if(l)return l.call(b,a,c);d=b.length,c=c?c<0?Math.max(0,d+c):c:0;for(;c<d;c++)if(c in b&&b[c]===a)return c}return-1},merge:function(a,c){var d=c.length,e=a.length,f=0;if(typeof d==\"number\")for(;f<d;f++)a[e++]=c[f];else while(c[f]!==b)a[e++]=c[f++];return a.length=e,a},grep:function(a,b,c){var d,e=[],f=0,g=a.length;c=!!c;for(;f<g;f++)d=!!b(a[f],f),c!==d&&e.push(a[f]);return e},map:function(a,c,d){var e,f,g=[],h=0,i=a.length,j=a instanceof p||i!==b&&typeof i==\"number\"&&(i>0&&a[0]&&a[i-1]||i===0||p.isArray(a));if(j)for(;h<i;h++)e=c(a[h],h,d),e!=null&&(g[g.length]=e);else for(f in a)e=c(a[f],f,d),e!=null&&(g[g.length]=e);return g.concat.apply([],g)},guid:1,proxy:function(a,c){var d,e,f;return typeof c==\"string\"&&(d=a[c],c=a,a=d),p.isFunction(a)?(e=k.call(arguments,2),f=function(){return a.apply(c,e.concat(k.call(arguments)))},f.guid=a.guid=a.guid||p.guid++,f):b},access:function(a,c,d,e,f,g,h){var i,j=d==null,k=0,l=a.length;if(d&&typeof d==\"object\"){for(k in d)p.access(a,c,k,d[k],1,g,e);f=1}else if(e!==b){i=h===b&&p.isFunction(e),j&&(i?(i=c,c=function(a,b,c){return i.call(p(a),c)}):(c.call(a,e),c=null));if(c)for(;k<l;k++)c(a[k],d,i?e.call(a[k],k,c(a[k],d)):e,h);f=1}return f?a:j?c.call(a):l?c(a[0],d):g},now:function(){return(new Date).getTime()}}),p.ready.promise=function(b){if(!d){d=p.Deferred();if(e.readyState===\"complete\")setTimeout(p.ready,1);else if(e.addEventListener)e.addEventListener(\"DOMContentLoaded\",D,!1),a.addEventListener(\"load\",p.ready,!1);else{e.attachEvent(\"onreadystatechange\",D),a.attachEvent(\"onload\",p.ready);var c=!1;try{c=a.frameElement==null&&e.documentElement}catch(f){}c&&c.doScroll&&function g(){if(!p.isReady){try{c.doScroll(\"left\")}catch(a){return setTimeout(g,50)}p.ready()}}()}}return d.promise(b)},p.each(\"Boolean Number String Function Array Date RegExp Object\".split(\" \"),function(a,b){E[\"[object \"+b+\"]\"]=b.toLowerCase()}),c=p(e);var F={};p.Callbacks=function(a){a=typeof a==\"string\"?F[a]||G(a):p.extend({},a);var c,d,e,f,g,h,i=[],j=!a.once&&[],k=function(b){c=a.memory&&b,d=!0,h=f||0,f=0,g=i.length,e=!0;for(;i&&h<g;h++)if(i[h].apply(b[0],b[1])===!1&&a.stopOnFalse){c=!1;break}e=!1,i&&(j?j.length&&k(j.shift()):c?i=[]:l.disable())},l={add:function(){if(i){var b=i.length;(function d(b){p.each(b,function(b,c){var e=p.type(c);e===\"function\"&&(!a.unique||!l.has(c))?i.push(c):c&&c.length&&e!==\"string\"&&d(c)})})(arguments),e?g=i.length:c&&(f=b,k(c))}return this},remove:function(){return i&&p.each(arguments,function(a,b){var c;while((c=p.inArray(b,i,c))>-1)i.splice(c,1),e&&(c<=g&&g--,c<=h&&h--)}),this},has:function(a){return p.inArray(a,i)>-1},empty:function(){return i=[],this},disable:function(){return i=j=c=b,this},disabled:function(){return!i},lock:function(){return j=b,c||l.disable(),this},locked:function(){return!j},fireWith:function(a,b){return b=b||[],b=[a,b.slice?b.slice():b],i&&(!d||j)&&(e?j.push(b):k(b)),this},fire:function(){return l.fireWith(this,arguments),this},fired:function(){return!!d}};return l},p.extend({Deferred:function(a){var b=[[\"resolve\",\"done\",p.Callbacks(\"once memory\"),\"resolved\"],[\"reject\",\"fail\",p.Callbacks(\"once memory\"),\"rejected\"],[\"notify\",\"progress\",p.Callbacks(\"memory\")]],c=\"pending\",d={state:function(){return c},always:function(){return e.done(arguments).fail(arguments),this},then:function(){var a=arguments;return p.Deferred(function(c){p.each(b,function(b,d){var f=d[0],g=a[b];e[d[1]](p.isFunction(g)?function(){var a=g.apply(this,arguments);a&&p.isFunction(a.promise)?a.promise().done(c.resolve).fail(c.reject).progress(c.notify):c[f+\"With\"](this===e?c:this,[a])}:c[f])}),a=null}).promise()},promise:function(a){return a!=null?p.extend(a,d):d}},e={};return d.pipe=d.then,p.each(b,function(a,f){var g=f[2],h=f[3];d[f[1]]=g.add,h&&g.add(function(){c=h},b[a^1][2].disable,b[2][2].lock),e[f[0]]=g.fire,e[f[0]+\"With\"]=g.fireWith}),d.promise(e),a&&a.call(e,e),e},when:function(a){var b=0,c=k.call(arguments),d=c.length,e=d!==1||a&&p.isFunction(a.promise)?d:0,f=e===1?a:p.Deferred(),g=function(a,b,c){return function(d){b[a]=this,c[a]=arguments.length>1?k.call(arguments):d,c===h?f.notifyWith(b,c):--e||f.resolveWith(b,c)}},h,i,j;if(d>1){h=new Array(d),i=new Array(d),j=new Array(d);for(;b<d;b++)c[b]&&p.isFunction(c[b].promise)?c[b].promise().done(g(b,j,c)).fail(f.reject).progress(g(b,i,h)):--e}return e||f.resolveWith(j,c),f.promise()}}),p.support=function(){var b,c,d,f,g,h,i,j,k,l,m,n=e.createElement(\"div\");n.setAttribute(\"className\",\"t\"),n.innerHTML=\" <link/><table></table><a href='/a'>a</a><input type='checkbox'/>\",c=n.getElementsByTagName(\"*\"),d=n.getElementsByTagName(\"a\")[0],d.style.cssText=\"top:1px;float:left;opacity:.5\";if(!c||!c.length)return{};f=e.createElement(\"select\"),g=f.appendChild(e.createElement(\"option\")),h=n.getElementsByTagName(\"input\")[0],b={leadingWhitespace:n.firstChild.nodeType===3,tbody:!n.getElementsByTagName(\"tbody\").length,htmlSerialize:!!n.getElementsByTagName(\"link\").length,style:/top/.test(d.getAttribute(\"style\")),hrefNormalized:d.getAttribute(\"href\")===\"/a\",opacity:/^0.5/.test(d.style.opacity),cssFloat:!!d.style.cssFloat,checkOn:h.value===\"on\",optSelected:g.selected,getSetAttribute:n.className!==\"t\",enctype:!!e.createElement(\"form\").enctype,html5Clone:e.createElement(\"nav\").cloneNode(!0).outerHTML!==\"<:nav></:nav>\",boxModel:e.compatMode===\"CSS1Compat\",submitBubbles:!0,changeBubbles:!0,focusinBubbles:!1,deleteExpando:!0,noCloneEvent:!0,inlineBlockNeedsLayout:!1,shrinkWrapBlocks:!1,reliableMarginRight:!0,boxSizingReliable:!0,pixelPosition:!1},h.checked=!0,b.noCloneChecked=h.cloneNode(!0).checked,f.disabled=!0,b.optDisabled=!g.disabled;try{delete n.test}catch(o){b.deleteExpando=!1}!n.addEventListener&&n.attachEvent&&n.fireEvent&&(n.attachEvent(\"onclick\",m=function(){b.noCloneEvent=!1}),n.cloneNode(!0).fireEvent(\"onclick\"),n.detachEvent(\"onclick\",m)),h=e.createElement(\"input\"),h.value=\"t\",h.setAttribute(\"type\",\"radio\"),b.radioValue=h.value===\"t\",h.setAttribute(\"checked\",\"checked\"),h.setAttribute(\"name\",\"t\"),n.appendChild(h),i=e.createDocumentFragment(),i.appendChild(n.lastChild),b.checkClone=i.cloneNode(!0).cloneNode(!0).lastChild.checked,b.appendChecked=h.checked,i.removeChild(h),i.appendChild(n);if(n.attachEvent)for(k in{submit:!0,change:!0,focusin:!0})j=\"on\"+k,l=j in n,l||(n.setAttribute(j,\"return;\"),l=typeof n[j]==\"function\"),b[k+\"Bubbles\"]=l;return p(function(){var c,d,f,g,h=\"padding:0;margin:0;border:0;display:block;overflow:hidden;\",i=e.getElementsByTagName(\"body\")[0];if(!i)return;c=e.createElement(\"div\"),c.style.cssText=\"visibility:hidden;border:0;width:0;height:0;position:static;top:0;margin-top:1px\",i.insertBefore(c,i.firstChild),d=e.createElement(\"div\"),c.appendChild(d),d.innerHTML=\"<table><tr><td></td><td>t</td></tr></table>\",f=d.getElementsByTagName(\"td\"),f[0].style.cssText=\"padding:0;margin:0;border:0;display:none\",l=f[0].offsetHeight===0,f[0].style.display=\"\",f[1].style.display=\"none\",b.reliableHiddenOffsets=l&&f[0].offsetHeight===0,d.innerHTML=\"\",d.style.cssText=\"box-sizing:border-box;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;padding:1px;border:1px;display:block;width:4px;margin-top:1%;position:absolute;top:1%;\",b.boxSizing=d.offsetWidth===4,b.doesNotIncludeMarginInBodyOffset=i.offsetTop!==1,a.getComputedStyle&&(b.pixelPosition=(a.getComputedStyle(d,null)||{}).top!==\"1%\",b.boxSizingReliable=(a.getComputedStyle(d,null)||{width:\"4px\"}).width===\"4px\",g=e.createElement(\"div\"),g.style.cssText=d.style.cssText=h,g.style.marginRight=g.style.width=\"0\",d.style.width=\"1px\",d.appendChild(g),b.reliableMarginRight=!parseFloat((a.getComputedStyle(g,null)||{}).marginRight)),typeof d.style.zoom!=\"undefined\"&&(d.innerHTML=\"\",d.style.cssText=h+\"width:1px;padding:1px;display:inline;zoom:1\",b.inlineBlockNeedsLayout=d.offsetWidth===3,d.style.display=\"block\",d.style.overflow=\"visible\",d.innerHTML=\"<div></div>\",d.firstChild.style.width=\"5px\",b.shrinkWrapBlocks=d.offsetWidth!==3,c.style.zoom=1),i.removeChild(c),c=d=f=g=null}),i.removeChild(n),c=d=f=g=h=i=n=null,b}();var H=/(?:\\{[\\s\\S]*\\}|\\[[\\s\\S]*\\])$/,I=/([A-Z])/g;p.extend({cache:{},deletedIds:[],uuid:0,expando:\"jQuery\"+(p.fn.jquery+Math.random()).replace(/\\D/g,\"\"),noData:{embed:!0,object:\"clsid:D27CDB6E-AE6D-11cf-96B8-444553540000\",applet:!0},hasData:function(a){return a=a.nodeType?p.cache[a[p.expando]]:a[p.expando],!!a&&!K(a)},data:function(a,c,d,e){if(!p.acceptData(a))return;var f,g,h=p.expando,i=typeof c==\"string\",j=a.nodeType,k=j?p.cache:a,l=j?a[h]:a[h]&&h;if((!l||!k[l]||!e&&!k[l].data)&&i&&d===b)return;l||(j?a[h]=l=p.deletedIds.pop()||p.guid++:l=h),k[l]||(k[l]={},j||(k[l].toJSON=p.noop));if(typeof c==\"object\"||typeof c==\"function\")e?k[l]=p.extend(k[l],c):k[l].data=p.extend(k[l].data,c);return f=k[l],e||(f.data||(f.data={}),f=f.data),d!==b&&(f[p.camelCase(c)]=d),i?(g=f[c],g==null&&(g=f[p.camelCase(c)])):g=f,g},removeData:function(a,b,c){if(!p.acceptData(a))return;var d,e,f,g=a.nodeType,h=g?p.cache:a,i=g?a[p.expando]:p.expando;if(!h[i])return;if(b){d=c?h[i]:h[i].data;if(d){p.isArray(b)||(b in d?b=[b]:(b=p.camelCase(b),b in d?b=[b]:b=b.split(\" \")));for(e=0,f=b.length;e<f;e++)delete d[b[e]];if(!(c?K:p.isEmptyObject)(d))return}}if(!c){delete h[i].data;if(!K(h[i]))return}g?p.cleanData([a],!0):p.support.deleteExpando||h!=h.window?delete h[i]:h[i]=null},_data:function(a,b,c){return p.data(a,b,c,!0)},acceptData:function(a){var b=a.nodeName&&p.noData[a.nodeName.toLowerCase()];return!b||b!==!0&&a.getAttribute(\"classid\")===b}}),p.fn.extend({data:function(a,c){var d,e,f,g,h,i=this[0],j=0,k=null;if(a===b){if(this.length){k=p.data(i);if(i.nodeType===1&&!p._data(i,\"parsedAttrs\")){f=i.attributes;for(h=f.length;j<h;j++)g=f[j].name,g.indexOf(\"data-\")||(g=p.camelCase(g.substring(5)),J(i,g,k[g]));p._data(i,\"parsedAttrs\",!0)}}return k}return typeof a==\"object\"?this.each(function(){p.data(this,a)}):(d=a.split(\".\",2),d[1]=d[1]?\".\"+d[1]:\"\",e=d[1]+\"!\",p.access(this,function(c){if(c===b)return k=this.triggerHandler(\"getData\"+e,[d[0]]),k===b&&i&&(k=p.data(i,a),k=J(i,a,k)),k===b&&d[1]?this.data(d[0]):k;d[1]=c,this.each(function(){var b=p(this);b.triggerHandler(\"setData\"+e,d),p.data(this,a,c),b.triggerHandler(\"changeData\"+e,d)})},null,c,arguments.length>1,null,!1))},removeData:function(a){return this.each(function(){p.removeData(this,a)})}}),p.extend({queue:function(a,b,c){var d;if(a)return b=(b||\"fx\")+\"queue\",d=p._data(a,b),c&&(!d||p.isArray(c)?d=p._data(a,b,p.makeArray(c)):d.push(c)),d||[]},dequeue:function(a,b){b=b||\"fx\";var c=p.queue(a,b),d=c.length,e=c.shift(),f=p._queueHooks(a,b),g=function(){p.dequeue(a,b)};e===\"inprogress\"&&(e=c.shift(),d--),e&&(b===\"fx\"&&c.unshift(\"inprogress\"),delete f.stop,e.call(a,g,f)),!d&&f&&f.empty.fire()},_queueHooks:function(a,b){var c=b+\"queueHooks\";return p._data(a,c)||p._data(a,c,{empty:p.Callbacks(\"once memory\").add(function(){p.removeData(a,b+\"queue\",!0),p.removeData(a,c,!0)})})}}),p.fn.extend({queue:function(a,c){var d=2;return typeof a!=\"string\"&&(c=a,a=\"fx\",d--),arguments.length<d?p.queue(this[0],a):c===b?this:this.each(function(){var b=p.queue(this,a,c);p._queueHooks(this,a),a===\"fx\"&&b[0]!==\"inprogress\"&&p.dequeue(this,a)})},dequeue:function(a){return this.each(function(){p.dequeue(this,a)})},delay:function(a,b){return a=p.fx?p.fx.speeds[a]||a:a,b=b||\"fx\",this.queue(b,function(b,c){var d=setTimeout(b,a);c.stop=function(){clearTimeout(d)}})},clearQueue:function(a){return this.queue(a||\"fx\",[])},promise:function(a,c){var d,e=1,f=p.Deferred(),g=this,h=this.length,i=function(){--e||f.resolveWith(g,[g])};typeof a!=\"string\"&&(c=a,a=b),a=a||\"fx\";while(h--)d=p._data(g[h],a+\"queueHooks\"),d&&d.empty&&(e++,d.empty.add(i));return i(),f.promise(c)}});var L,M,N,O=/[\\t\\r\\n]/g,P=/\\r/g,Q=/^(?:button|input)$/i,R=/^(?:button|input|object|select|textarea)$/i,S=/^a(?:rea|)$/i,T=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,U=p.support.getSetAttribute;p.fn.extend({attr:function(a,b){return p.access(this,p.attr,a,b,arguments.length>1)},removeAttr:function(a){return this.each(function(){p.removeAttr(this,a)})},prop:function(a,b){return p.access(this,p.prop,a,b,arguments.length>1)},removeProp:function(a){return a=p.propFix[a]||a,this.each(function(){try{this[a]=b,delete this[a]}catch(c){}})},addClass:function(a){var b,c,d,e,f,g,h;if(p.isFunction(a))return this.each(function(b){p(this).addClass(a.call(this,b,this.className))});if(a&&typeof a==\"string\"){b=a.split(s);for(c=0,d=this.length;c<d;c++){e=this[c];if(e.nodeType===1)if(!e.className&&b.length===1)e.className=a;else{f=\" \"+e.className+\" \";for(g=0,h=b.length;g<h;g++)f.indexOf(\" \"+b[g]+\" \")<0&&(f+=b[g]+\" \");e.className=p.trim(f)}}}return this},removeClass:function(a){var c,d,e,f,g,h,i;if(p.isFunction(a))return this.each(function(b){p(this).removeClass(a.call(this,b,this.className))});if(a&&typeof a==\"string\"||a===b){c=(a||\"\").split(s);for(h=0,i=this.length;h<i;h++){e=this[h];if(e.nodeType===1&&e.className){d=(\" \"+e.className+\" \").replace(O,\" \");for(f=0,g=c.length;f<g;f++)while(d.indexOf(\" \"+c[f]+\" \")>=0)d=d.replace(\" \"+c[f]+\" \",\" \");e.className=a?p.trim(d):\"\"}}}return this},toggleClass:function(a,b){var c=typeof a,d=typeof b==\"boolean\";return p.isFunction(a)?this.each(function(c){p(this).toggleClass(a.call(this,c,this.className,b),b)}):this.each(function(){if(c===\"string\"){var e,f=0,g=p(this),h=b,i=a.split(s);while(e=i[f++])h=d?h:!g.hasClass(e),g[h?\"addClass\":\"removeClass\"](e)}else if(c===\"undefined\"||c===\"boolean\")this.className&&p._data(this,\"__className__\",this.className),this.className=this.className||a===!1?\"\":p._data(this,\"__className__\")||\"\"})},hasClass:function(a){var b=\" \"+a+\" \",c=0,d=this.length;for(;c<d;c++)if(this[c].nodeType===1&&(\" \"+this[c].className+\" \").replace(O,\" \").indexOf(b)>=0)return!0;return!1},val:function(a){var c,d,e,f=this[0];if(!arguments.length){if(f)return c=p.valHooks[f.type]||p.valHooks[f.nodeName.toLowerCase()],c&&\"get\"in c&&(d=c.get(f,\"value\"))!==b?d:(d=f.value,typeof d==\"string\"?d.replace(P,\"\"):d==null?\"\":d);return}return e=p.isFunction(a),this.each(function(d){var f,g=p(this);if(this.nodeType!==1)return;e?f=a.call(this,d,g.val()):f=a,f==null?f=\"\":typeof f==\"number\"?f+=\"\":p.isArray(f)&&(f=p.map(f,function(a){return a==null?\"\":a+\"\"})),c=p.valHooks[this.type]||p.valHooks[this.nodeName.toLowerCase()];if(!c||!(\"set\"in c)||c.set(this,f,\"value\")===b)this.value=f})}}),p.extend({valHooks:{option:{get:function(a){var b=a.attributes.value;return!b||b.specified?a.value:a.text}},select:{get:function(a){var b,c,d,e,f=a.selectedIndex,g=[],h=a.options,i=a.type===\"select-one\";if(f<0)return null;c=i?f:0,d=i?f+1:h.length;for(;c<d;c++){e=h[c];if(e.selected&&(p.support.optDisabled?!e.disabled:e.getAttribute(\"disabled\")===null)&&(!e.parentNode.disabled||!p.nodeName(e.parentNode,\"optgroup\"))){b=p(e).val();if(i)return b;g.push(b)}}return i&&!g.length&&h.length?p(h[f]).val():g},set:function(a,b){var c=p.makeArray(b);return p(a).find(\"option\").each(function(){this.selected=p.inArray(p(this).val(),c)>=0}),c.length||(a.selectedIndex=-1),c}}},attrFn:{},attr:function(a,c,d,e){var f,g,h,i=a.nodeType;if(!a||i===3||i===8||i===2)return;if(e&&p.isFunction(p.fn[c]))return p(a)[c](d);if(typeof a.getAttribute==\"undefined\")return p.prop(a,c,d);h=i!==1||!p.isXMLDoc(a),h&&(c=c.toLowerCase(),g=p.attrHooks[c]||(T.test(c)?M:L));if(d!==b){if(d===null){p.removeAttr(a,c);return}return g&&\"set\"in g&&h&&(f=g.set(a,d,c))!==b?f:(a.setAttribute(c,d+\"\"),d)}return g&&\"get\"in g&&h&&(f=g.get(a,c))!==null?f:(f=a.getAttribute(c),f===null?b:f)},removeAttr:function(a,b){var c,d,e,f,g=0;if(b&&a.nodeType===1){d=b.split(s);for(;g<d.length;g++)e=d[g],e&&(c=p.propFix[e]||e,f=T.test(e),f||p.attr(a,e,\"\"),a.removeAttribute(U?e:c),f&&c in a&&(a[c]=!1))}},attrHooks:{type:{set:function(a,b){if(Q.test(a.nodeName)&&a.parentNode)p.error(\"type property can't be changed\");else if(!p.support.radioValue&&b===\"radio\"&&p.nodeName(a,\"input\")){var c=a.value;return a.setAttribute(\"type\",b),c&&(a.value=c),b}}},value:{get:function(a,b){return L&&p.nodeName(a,\"button\")?L.get(a,b):b in a?a.value:null},set:function(a,b,c){if(L&&p.nodeName(a,\"button\"))return L.set(a,b,c);a.value=b}}},propFix:{tabindex:\"tabIndex\",readonly:\"readOnly\",\"for\":\"htmlFor\",\"class\":\"className\",maxlength:\"maxLength\",cellspacing:\"cellSpacing\",cellpadding:\"cellPadding\",rowspan:\"rowSpan\",colspan:\"colSpan\",usemap:\"useMap\",frameborder:\"frameBorder\",contenteditable:\"contentEditable\"},prop:function(a,c,d){var e,f,g,h=a.nodeType;if(!a||h===3||h===8||h===2)return;return g=h!==1||!p.isXMLDoc(a),g&&(c=p.propFix[c]||c,f=p.propHooks[c]),d!==b?f&&\"set\"in f&&(e=f.set(a,d,c))!==b?e:a[c]=d:f&&\"get\"in f&&(e=f.get(a,c))!==null?e:a[c]},propHooks:{tabIndex:{get:function(a){var c=a.getAttributeNode(\"tabindex\");return c&&c.specified?parseInt(c.value,10):R.test(a.nodeName)||S.test(a.nodeName)&&a.href?0:b}}}}),M={get:function(a,c){var d,e=p.prop(a,c);return e===!0||typeof e!=\"boolean\"&&(d=a.getAttributeNode(c))&&d.nodeValue!==!1?c.toLowerCase():b},set:function(a,b,c){var d;return b===!1?p.removeAttr(a,c):(d=p.propFix[c]||c,d in a&&(a[d]=!0),a.setAttribute(c,c.toLowerCase())),c}},U||(N={name:!0,id:!0,coords:!0},L=p.valHooks.button={get:function(a,c){var d;return d=a.getAttributeNode(c),d&&(N[c]?d.value!==\"\":d.specified)?d.value:b},set:function(a,b,c){var d=a.getAttributeNode(c);return d||(d=e.createAttribute(c),a.setAttributeNode(d)),d.value=b+\"\"}},p.each([\"width\",\"height\"],function(a,b){p.attrHooks[b]=p.extend(p.attrHooks[b],{set:function(a,c){if(c===\"\")return a.setAttribute(b,\"auto\"),c}})}),p.attrHooks.contenteditable={get:L.get,set:function(a,b,c){b===\"\"&&(b=\"false\"),L.set(a,b,c)}}),p.support.hrefNormalized||p.each([\"href\",\"src\",\"width\",\"height\"],function(a,c){p.attrHooks[c]=p.extend(p.attrHooks[c],{get:function(a){var d=a.getAttribute(c,2);return d===null?b:d}})}),p.support.style||(p.attrHooks.style={get:function(a){return a.style.cssText.toLowerCase()||b},set:function(a,b){return a.style.cssText=b+\"\"}}),p.support.optSelected||(p.propHooks.selected=p.extend(p.propHooks.selected,{get:function(a){var b=a.parentNode;return b&&(b.selectedIndex,b.parentNode&&b.parentNode.selectedIndex),null}})),p.support.enctype||(p.propFix.enctype=\"encoding\"),p.support.checkOn||p.each([\"radio\",\"checkbox\"],function(){p.valHooks[this]={get:function(a){return a.getAttribute(\"value\")===null?\"on\":a.value}}}),p.each([\"radio\",\"checkbox\"],function(){p.valHooks[this]=p.extend(p.valHooks[this],{set:function(a,b){if(p.isArray(b))return a.checked=p.inArray(p(a).val(),b)>=0}})});var V=/^(?:textarea|input|select)$/i,W=/^([^\\.]*|)(?:\\.(.+)|)$/,X=/(?:^|\\s)hover(\\.\\S+|)\\b/,Y=/^key/,Z=/^(?:mouse|contextmenu)|click/,$=/^(?:focusinfocus|focusoutblur)$/,_=function(a){return p.event.special.hover?a:a.replace(X,\"mouseenter$1 mouseleave$1\")};p.event={add:function(a,c,d,e,f){var g,h,i,j,k,l,m,n,o,q,r;if(a.nodeType===3||a.nodeType===8||!c||!d||!(g=p._data(a)))return;d.handler&&(o=d,d=o.handler,f=o.selector),d.guid||(d.guid=p.guid++),i=g.events,i||(g.events=i={}),h=g.handle,h||(g.handle=h=function(a){return typeof p!=\"undefined\"&&(!a||p.event.triggered!==a.type)?p.event.dispatch.apply(h.elem,arguments):b},h.elem=a),c=p.trim(_(c)).split(\" \");for(j=0;j<c.length;j++){k=W.exec(c[j])||[],l=k[1],m=(k[2]||\"\").split(\".\").sort(),r=p.event.special[l]||{},l=(f?r.delegateType:r.bindType)||l,r=p.event.special[l]||{},n=p.extend({type:l,origType:k[1],data:e,handler:d,guid:d.guid,selector:f,needsContext:f&&p.expr.match.needsContext.test(f),namespace:m.join(\".\")},o),q=i[l];if(!q){q=i[l]=[],q.delegateCount=0;if(!r.setup||r.setup.call(a,e,m,h)===!1)a.addEventListener?a.addEventListener(l,h,!1):a.attachEvent&&a.attachEvent(\"on\"+l,h)}r.add&&(r.add.call(a,n),n.handler.guid||(n.handler.guid=d.guid)),f?q.splice(q.delegateCount++,0,n):q.push(n),p.event.global[l]=!0}a=null},global:{},remove:function(a,b,c,d,e){var f,g,h,i,j,k,l,m,n,o,q,r=p.hasData(a)&&p._data(a);if(!r||!(m=r.events))return;b=p.trim(_(b||\"\")).split(\" \");for(f=0;f<b.length;f++){g=W.exec(b[f])||[],h=i=g[1],j=g[2];if(!h){for(h in m)p.event.remove(a,h+b[f],c,d,!0);continue}n=p.event.special[h]||{},h=(d?n.delegateType:n.bindType)||h,o=m[h]||[],k=o.length,j=j?new RegExp(\"(^|\\\\.)\"+j.split(\".\").sort().join(\"\\\\.(?:.*\\\\.|)\")+\"(\\\\.|$)\"):null;for(l=0;l<o.length;l++)q=o[l],(e||i===q.origType)&&(!c||c.guid===q.guid)&&(!j||j.test(q.namespace))&&(!d||d===q.selector||d===\"**\"&&q.selector)&&(o.splice(l--,1),q.selector&&o.delegateCount--,n.remove&&n.remove.call(a,q));o.length===0&&k!==o.length&&((!n.teardown||n.teardown.call(a,j,r.handle)===!1)&&p.removeEvent(a,h,r.handle),delete m[h])}p.isEmptyObject(m)&&(delete r.handle,p.removeData(a,\"events\",!0))},customEvent:{getData:!0,setData:!0,changeData:!0},trigger:function(c,d,f,g){if(!f||f.nodeType!==3&&f.nodeType!==8){var h,i,j,k,l,m,n,o,q,r,s=c.type||c,t=[];if($.test(s+p.event.triggered))return;s.indexOf(\"!\")>=0&&(s=s.slice(0,-1),i=!0),s.indexOf(\".\")>=0&&(t=s.split(\".\"),s=t.shift(),t.sort());if((!f||p.event.customEvent[s])&&!p.event.global[s])return;c=typeof c==\"object\"?c[p.expando]?c:new p.Event(s,c):new p.Event(s),c.type=s,c.isTrigger=!0,c.exclusive=i,c.namespace=t.join(\".\"),c.namespace_re=c.namespace?new RegExp(\"(^|\\\\.)\"+t.join(\"\\\\.(?:.*\\\\.|)\")+\"(\\\\.|$)\"):null,m=s.indexOf(\":\")<0?\"on\"+s:\"\";if(!f){h=p.cache;for(j in h)h[j].events&&h[j].events[s]&&p.event.trigger(c,d,h[j].handle.elem,!0);return}c.result=b,c.target||(c.target=f),d=d!=null?p.makeArray(d):[],d.unshift(c),n=p.event.special[s]||{};if(n.trigger&&n.trigger.apply(f,d)===!1)return;q=[[f,n.bindType||s]];if(!g&&!n.noBubble&&!p.isWindow(f)){r=n.delegateType||s,k=$.test(r+s)?f:f.parentNode;for(l=f;k;k=k.parentNode)q.push([k,r]),l=k;l===(f.ownerDocument||e)&&q.push([l.defaultView||l.parentWindow||a,r])}for(j=0;j<q.length&&!c.isPropagationStopped();j++)k=q[j][0],c.type=q[j][1],o=(p._data(k,\"events\")||{})[c.type]&&p._data(k,\"handle\"),o&&o.apply(k,d),o=m&&k[m],o&&p.acceptData(k)&&o.apply&&o.apply(k,d)===!1&&c.preventDefault();return c.type=s,!g&&!c.isDefaultPrevented()&&(!n._default||n._default.apply(f.ownerDocument,d)===!1)&&(s!==\"click\"||!p.nodeName(f,\"a\"))&&p.acceptData(f)&&m&&f[s]&&(s!==\"focus\"&&s!==\"blur\"||c.target.offsetWidth!==0)&&!p.isWindow(f)&&(l=f[m],l&&(f[m]=null),p.event.triggered=s,f[s](),p.event.triggered=b,l&&(f[m]=l)),c.result}return},dispatch:function(c){c=p.event.fix(c||a.event);var d,e,f,g,h,i,j,l,m,n,o=(p._data(this,\"events\")||{})[c.type]||[],q=o.delegateCount,r=k.call(arguments),s=!c.exclusive&&!c.namespace,t=p.event.special[c.type]||{},u=[];r[0]=c,c.delegateTarget=this;if(t.preDispatch&&t.preDispatch.call(this,c)===!1)return;if(q&&(!c.button||c.type!==\"click\"))for(f=c.target;f!=this;f=f.parentNode||this)if(f.disabled!==!0||c.type!==\"click\"){h={},j=[];for(d=0;d<q;d++)l=o[d],m=l.selector,h[m]===b&&(h[m]=l.needsContext?p(m,this).index(f)>=0:p.find(m,this,null,[f]).length),h[m]&&j.push(l);j.length&&u.push({elem:f,matches:j})}o.length>q&&u.push({elem:this,matches:o.slice(q)});for(d=0;d<u.length&&!c.isPropagationStopped();d++){i=u[d],c.currentTarget=i.elem;for(e=0;e<i.matches.length&&!c.isImmediatePropagationStopped();e++){l=i.matches[e];if(s||!c.namespace&&!l.namespace||c.namespace_re&&c.namespace_re.test(l.namespace))c.data=l.data,c.handleObj=l,g=((p.event.special[l.origType]||{}).handle||l.handler).apply(i.elem,r),g!==b&&(c.result=g,g===!1&&(c.preventDefault(),c.stopPropagation()))}}return t.postDispatch&&t.postDispatch.call(this,c),c.result},props:\"attrChange attrName relatedNode srcElement altKey bubbles cancelable ctrlKey currentTarget eventPhase metaKey relatedTarget shiftKey target timeStamp view which\".split(\" \"),fixHooks:{},keyHooks:{props:\"char charCode key keyCode\".split(\" \"),filter:function(a,b){return a.which==null&&(a.which=b.charCode!=null?b.charCode:b.keyCode),a}},mouseHooks:{props:\"button buttons clientX clientY fromElement offsetX offsetY pageX pageY screenX screenY toElement\".split(\" \"),filter:function(a,c){var d,f,g,h=c.button,i=c.fromElement;return a.pageX==null&&c.clientX!=null&&(d=a.target.ownerDocument||e,f=d.documentElement,g=d.body,a.pageX=c.clientX+(f&&f.scrollLeft||g&&g.scrollLeft||0)-(f&&f.clientLeft||g&&g.clientLeft||0),a.pageY=c.clientY+(f&&f.scrollTop||g&&g.scrollTop||0)-(f&&f.clientTop||g&&g.clientTop||0)),!a.relatedTarget&&i&&(a.relatedTarget=i===a.target?c.toElement:i),!a.which&&h!==b&&(a.which=h&1?1:h&2?3:h&4?2:0),a}},fix:function(a){if(a[p.expando])return a;var b,c,d=a,f=p.event.fixHooks[a.type]||{},g=f.props?this.props.concat(f.props):this.props;a=p.Event(d);for(b=g.length;b;)c=g[--b],a[c]=d[c];return a.target||(a.target=d.srcElement||e),a.target.nodeType===3&&(a.target=a.target.parentNode),a.metaKey=!!a.metaKey,f.filter?f.filter(a,d):a},special:{load:{noBubble:!0},focus:{delegateType:\"focusin\"},blur:{delegateType:\"focusout\"},beforeunload:{setup:function(a,b,c){p.isWindow(this)&&(this.onbeforeunload=c)},teardown:function(a,b){this.onbeforeunload===b&&(this.onbeforeunload=null)}}},simulate:function(a,b,c,d){var e=p.extend(new p.Event,c,{type:a,isSimulated:!0,originalEvent:{}});d?p.event.trigger(e,null,b):p.event.dispatch.call(b,e),e.isDefaultPrevented()&&c.preventDefault()}},p.event.handle=p.event.dispatch,p.removeEvent=e.removeEventListener?function(a,b,c){a.removeEventListener&&a.removeEventListener(b,c,!1)}:function(a,b,c){var d=\"on\"+b;a.detachEvent&&(typeof a[d]==\"undefined\"&&(a[d]=null),a.detachEvent(d,c))},p.Event=function(a,b){if(this instanceof p.Event)a&&a.type?(this.originalEvent=a,this.type=a.type,this.isDefaultPrevented=a.defaultPrevented||a.returnValue===!1||a.getPreventDefault&&a.getPreventDefault()?bb:ba):this.type=a,b&&p.extend(this,b),this.timeStamp=a&&a.timeStamp||p.now(),this[p.expando]=!0;else return new p.Event(a,b)},p.Event.prototype={preventDefault:function(){this.isDefaultPrevented=bb;var a=this.originalEvent;if(!a)return;a.preventDefault?a.preventDefault():a.returnValue=!1},stopPropagation:function(){this.isPropagationStopped=bb;var a=this.originalEvent;if(!a)return;a.stopPropagation&&a.stopPropagation(),a.cancelBubble=!0},stopImmediatePropagation:function(){this.isImmediatePropagationStopped=bb,this.stopPropagation()},isDefaultPrevented:ba,isPropagationStopped:ba,isImmediatePropagationStopped:ba},p.each({mouseenter:\"mouseover\",mouseleave:\"mouseout\"},function(a,b){p.event.special[a]={delegateType:b,bindType:b,handle:function(a){var c,d=this,e=a.relatedTarget,f=a.handleObj,g=f.selector;if(!e||e!==d&&!p.contains(d,e))a.type=f.origType,c=f.handler.apply(this,arguments),a.type=b;return c}}}),p.support.submitBubbles||(p.event.special.submit={setup:function(){if(p.nodeName(this,\"form\"))return!1;p.event.add(this,\"click._submit keypress._submit\",function(a){var c=a.target,d=p.nodeName(c,\"input\")||p.nodeName(c,\"button\")?c.form:b;d&&!p._data(d,\"_submit_attached\")&&(p.event.add(d,\"submit._submit\",function(a){a._submit_bubble=!0}),p._data(d,\"_submit_attached\",!0))})},postDispatch:function(a){a._submit_bubble&&(delete a._submit_bubble,this.parentNode&&!a.isTrigger&&p.event.simulate(\"submit\",this.parentNode,a,!0))},teardown:function(){if(p.nodeName(this,\"form\"))return!1;p.event.remove(this,\"._submit\")}}),p.support.changeBubbles||(p.event.special.change={setup:function(){if(V.test(this.nodeName)){if(this.type===\"checkbox\"||this.type===\"radio\")p.event.add(this,\"propertychange._change\",function(a){a.originalEvent.propertyName===\"checked\"&&(this._just_changed=!0)}),p.event.add(this,\"click._change\",function(a){this._just_changed&&!a.isTrigger&&(this._just_changed=!1),p.event.simulate(\"change\",this,a,!0)});return!1}p.event.add(this,\"beforeactivate._change\",function(a){var b=a.target;V.test(b.nodeName)&&!p._data(b,\"_change_attached\")&&(p.event.add(b,\"change._change\",function(a){this.parentNode&&!a.isSimulated&&!a.isTrigger&&p.event.simulate(\"change\",this.parentNode,a,!0)}),p._data(b,\"_change_attached\",!0))})},handle:function(a){var b=a.target;if(this!==b||a.isSimulated||a.isTrigger||b.type!==\"radio\"&&b.type!==\"checkbox\")return a.handleObj.handler.apply(this,arguments)},teardown:function(){return p.event.remove(this,\"._change\"),!V.test(this.nodeName)}}),p.support.focusinBubbles||p.each({focus:\"focusin\",blur:\"focusout\"},function(a,b){var c=0,d=function(a){p.event.simulate(b,a.target,p.event.fix(a),!0)};p.event.special[b]={setup:function(){c++===0&&e.addEventListener(a,d,!0)},teardown:function(){--c===0&&e.removeEventListener(a,d,!0)}}}),p.fn.extend({on:function(a,c,d,e,f){var g,h;if(typeof a==\"object\"){typeof c!=\"string\"&&(d=d||c,c=b);for(h in a)this.on(h,c,d,a[h],f);return this}d==null&&e==null?(e=c,d=c=b):e==null&&(typeof c==\"string\"?(e=d,d=b):(e=d,d=c,c=b));if(e===!1)e=ba;else if(!e)return this;return f===1&&(g=e,e=function(a){return p().off(a),g.apply(this,arguments)},e.guid=g.guid||(g.guid=p.guid++)),this.each(function(){p.event.add(this,a,e,d,c)})},one:function(a,b,c,d){return this.on(a,b,c,d,1)},off:function(a,c,d){var e,f;if(a&&a.preventDefault&&a.handleObj)return e=a.handleObj,p(a.delegateTarget).off(e.namespace?e.origType+\".\"+e.namespace:e.origType,e.selector,e.handler),this;if(typeof a==\"object\"){for(f in a)this.off(f,c,a[f]);return this}if(c===!1||typeof c==\"function\")d=c,c=b;return d===!1&&(d=ba),this.each(function(){p.event.remove(this,a,d,c)})},bind:function(a,b,c){return this.on(a,null,b,c)},unbind:function(a,b){return this.off(a,null,b)},live:function(a,b,c){return p(this.context).on(a,this.selector,b,c),this},die:function(a,b){return p(this.context).off(a,this.selector||\"**\",b),this},delegate:function(a,b,c,d){return this.on(b,a,c,d)},undelegate:function(a,b,c){return arguments.length===1?this.off(a,\"**\"):this.off(b,a||\"**\",c)},trigger:function(a,b){return this.each(function(){p.event.trigger(a,b,this)})},triggerHandler:function(a,b){if(this[0])return p.event.trigger(a,b,this[0],!0)},toggle:function(a){var b=arguments,c=a.guid||p.guid++,d=0,e=function(c){var e=(p._data(this,\"lastToggle\"+a.guid)||0)%d;return p._data(this,\"lastToggle\"+a.guid,e+1),c.preventDefault(),b[e].apply(this,arguments)||!1};e.guid=c;while(d<b.length)b[d++].guid=c;return this.click(e)},hover:function(a,b){return this.mouseenter(a).mouseleave(b||a)}}),p.each(\"blur focus focusin focusout load resize scroll unload click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup error contextmenu\".split(\" \"),function(a,b){p.fn[b]=function(a,c){return c==null&&(c=a,a=null),arguments.length>0?this.on(b,null,a,c):this.trigger(b)},Y.test(b)&&(p.event.fixHooks[b]=p.event.keyHooks),Z.test(b)&&(p.event.fixHooks[b]=p.event.mouseHooks)}),function(a,b){function bc(a,b,c,d){c=c||[],b=b||r;var e,f,i,j,k=b.nodeType;if(!a||typeof a!=\"string\")return c;if(k!==1&&k!==9)return[];i=g(b);if(!i&&!d)if(e=P.exec(a))if(j=e[1]){if(k===9){f=b.getElementById(j);if(!f||!f.parentNode)return c;if(f.id===j)return c.push(f),c}else if(b.ownerDocument&&(f=b.ownerDocument.getElementById(j))&&h(b,f)&&f.id===j)return c.push(f),c}else{if(e[2])return w.apply(c,x.call(b.getElementsByTagName(a),0)),c;if((j=e[3])&&_&&b.getElementsByClassName)return w.apply(c,x.call(b.getElementsByClassName(j),0)),c}return bp(a.replace(L,\"$1\"),b,c,d,i)}function bd(a){return function(b){var c=b.nodeName.toLowerCase();return c===\"input\"&&b.type===a}}function be(a){return function(b){var c=b.nodeName.toLowerCase();return(c===\"input\"||c===\"button\")&&b.type===a}}function bf(a){return z(function(b){return b=+b,z(function(c,d){var e,f=a([],c.length,b),g=f.length;while(g--)c[e=f[g]]&&(c[e]=!(d[e]=c[e]))})})}function bg(a,b,c){if(a===b)return c;var d=a.nextSibling;while(d){if(d===b)return-1;d=d.nextSibling}return 1}function bh(a,b){var c,d,f,g,h,i,j,k=C[o][a];if(k)return b?0:k.slice(0);h=a,i=[],j=e.preFilter;while(h){if(!c||(d=M.exec(h)))d&&(h=h.slice(d[0].length)),i.push(f=[]);c=!1;if(d=N.exec(h))f.push(c=new q(d.shift())),h=h.slice(c.length),c.type=d[0].replace(L,\" \");for(g in e.filter)(d=W[g].exec(h))&&(!j[g]||(d=j[g](d,r,!0)))&&(f.push(c=new q(d.shift())),h=h.slice(c.length),c.type=g,c.matches=d);if(!c)break}return b?h.length:h?bc.error(a):C(a,i).slice(0)}function bi(a,b,d){var e=b.dir,f=d&&b.dir===\"parentNode\",g=u++;return b.first?function(b,c,d){while(b=b[e])if(f||b.nodeType===1)return a(b,c,d)}:function(b,d,h){if(!h){var i,j=t+\" \"+g+\" \",k=j+c;while(b=b[e])if(f||b.nodeType===1){if((i=b[o])===k)return b.sizset;if(typeof i==\"string\"&&i.indexOf(j)===0){if(b.sizset)return b}else{b[o]=k;if(a(b,d,h))return b.sizset=!0,b;b.sizset=!1}}}else while(b=b[e])if(f||b.nodeType===1)if(a(b,d,h))return b}}function bj(a){return a.length>1?function(b,c,d){var e=a.length;while(e--)if(!a[e](b,c,d))return!1;return!0}:a[0]}function bk(a,b,c,d,e){var f,g=[],h=0,i=a.length,j=b!=null;for(;h<i;h++)if(f=a[h])if(!c||c(f,d,e))g.push(f),j&&b.push(h);return g}function bl(a,b,c,d,e,f){return d&&!d[o]&&(d=bl(d)),e&&!e[o]&&(e=bl(e,f)),z(function(f,g,h,i){if(f&&e)return;var j,k,l,m=[],n=[],o=g.length,p=f||bo(b||\"*\",h.nodeType?[h]:h,[],f),q=a&&(f||!b)?bk(p,m,a,h,i):p,r=c?e||(f?a:o||d)?[]:g:q;c&&c(q,r,h,i);if(d){l=bk(r,n),d(l,[],h,i),j=l.length;while(j--)if(k=l[j])r[n[j]]=!(q[n[j]]=k)}if(f){j=a&&r.length;while(j--)if(k=r[j])f[m[j]]=!(g[m[j]]=k)}else r=bk(r===g?r.splice(o,r.length):r),e?e(null,g,r,i):w.apply(g,r)})}function bm(a){var b,c,d,f=a.length,g=e.relative[a[0].type],h=g||e.relative[\" \"],i=g?1:0,j=bi(function(a){return a===b},h,!0),k=bi(function(a){return y.call(b,a)>-1},h,!0),m=[function(a,c,d){return!g&&(d||c!==l)||((b=c).nodeType?j(a,c,d):k(a,c,d))}];for(;i<f;i++)if(c=e.relative[a[i].type])m=[bi(bj(m),c)];else{c=e.filter[a[i].type].apply(null,a[i].matches);if(c[o]){d=++i;for(;d<f;d++)if(e.relative[a[d].type])break;return bl(i>1&&bj(m),i>1&&a.slice(0,i-1).join(\"\").replace(L,\"$1\"),c,i<d&&bm(a.slice(i,d)),d<f&&bm(a=a.slice(d)),d<f&&a.join(\"\"))}m.push(c)}return bj(m)}function bn(a,b){var d=b.length>0,f=a.length>0,g=function(h,i,j,k,m){var n,o,p,q=[],s=0,u=\"0\",x=h&&[],y=m!=null,z=l,A=h||f&&e.find.TAG(\"*\",m&&i.parentNode||i),B=t+=z==null?1:Math.E;y&&(l=i!==r&&i,c=g.el);for(;(n=A[u])!=null;u++){if(f&&n){for(o=0;p=a[o];o++)if(p(n,i,j)){k.push(n);break}y&&(t=B,c=++g.el)}d&&((n=!p&&n)&&s--,h&&x.push(n))}s+=u;if(d&&u!==s){for(o=0;p=b[o];o++)p(x,q,i,j);if(h){if(s>0)while(u--)!x[u]&&!q[u]&&(q[u]=v.call(k));q=bk(q)}w.apply(k,q),y&&!h&&q.length>0&&s+b.length>1&&bc.uniqueSort(k)}return y&&(t=B,l=z),x};return g.el=0,d?z(g):g}function bo(a,b,c,d){var e=0,f=b.length;for(;e<f;e++)bc(a,b[e],c,d);return c}function bp(a,b,c,d,f){var g,h,j,k,l,m=bh(a),n=m.length;if(!d&&m.length===1){h=m[0]=m[0].slice(0);if(h.length>2&&(j=h[0]).type===\"ID\"&&b.nodeType===9&&!f&&e.relative[h[1].type]){b=e.find.ID(j.matches[0].replace(V,\"\"),b,f)[0];if(!b)return c;a=a.slice(h.shift().length)}for(g=W.POS.test(a)?-1:h.length-1;g>=0;g--){j=h[g];if(e.relative[k=j.type])break;if(l=e.find[k])if(d=l(j.matches[0].replace(V,\"\"),R.test(h[0].type)&&b.parentNode||b,f)){h.splice(g,1),a=d.length&&h.join(\"\");if(!a)return w.apply(c,x.call(d,0)),c;break}}}return i(a,m)(d,b,f,c,R.test(a)),c}function bq(){}var c,d,e,f,g,h,i,j,k,l,m=!0,n=\"undefined\",o=(\"sizcache\"+Math.random()).replace(\".\",\"\"),q=String,r=a.document,s=r.documentElement,t=0,u=0,v=[].pop,w=[].push,x=[].slice,y=[].indexOf||function(a){var b=0,c=this.length;for(;b<c;b++)if(this[b]===a)return b;return-1},z=function(a,b){return a[o]=b==null||b,a},A=function(){var a={},b=[];return z(function(c,d){return b.push(c)>e.cacheLength&&delete a[b.shift()],a[c]=d},a)},B=A(),C=A(),D=A(),E=\"[\\\\x20\\\\t\\\\r\\\\n\\\\f]\",F=\"(?:\\\\\\\\.|[-\\\\w]|[^\\\\x00-\\\\xa0])+\",G=F.replace(\"w\",\"w#\"),H=\"([*^$|!~]?=)\",I=\"\\\\[\"+E+\"*(\"+F+\")\"+E+\"*(?:\"+H+E+\"*(?:(['\\\"])((?:\\\\\\\\.|[^\\\\\\\\])*?)\\\\3|(\"+G+\")|)|)\"+E+\"*\\\\]\",J=\":(\"+F+\")(?:\\\\((?:(['\\\"])((?:\\\\\\\\.|[^\\\\\\\\])*?)\\\\2|([^()[\\\\]]*|(?:(?:\"+I+\")|[^:]|\\\\\\\\.)*|.*))\\\\)|)\",K=\":(even|odd|eq|gt|lt|nth|first|last)(?:\\\\(\"+E+\"*((?:-\\\\d)?\\\\d*)\"+E+\"*\\\\)|)(?=[^-]|$)\",L=new RegExp(\"^\"+E+\"+|((?:^|[^\\\\\\\\])(?:\\\\\\\\.)*)\"+E+\"+$\",\"g\"),M=new RegExp(\"^\"+E+\"*,\"+E+\"*\"),N=new RegExp(\"^\"+E+\"*([\\\\x20\\\\t\\\\r\\\\n\\\\f>+~])\"+E+\"*\"),O=new RegExp(J),P=/^(?:#([\\w\\-]+)|(\\w+)|\\.([\\w\\-]+))$/,Q=/^:not/,R=/[\\x20\\t\\r\\n\\f]*[+~]/,S=/:not\\($/,T=/h\\d/i,U=/input|select|textarea|button/i,V=/\\\\(?!\\\\)/g,W={ID:new RegExp(\"^#(\"+F+\")\"),CLASS:new RegExp(\"^\\\\.(\"+F+\")\"),NAME:new RegExp(\"^\\\\[name=['\\\"]?(\"+F+\")['\\\"]?\\\\]\"),TAG:new RegExp(\"^(\"+F.replace(\"w\",\"w*\")+\")\"),ATTR:new RegExp(\"^\"+I),PSEUDO:new RegExp(\"^\"+J),POS:new RegExp(K,\"i\"),CHILD:new RegExp(\"^:(only|nth|first|last)-child(?:\\\\(\"+E+\"*(even|odd|(([+-]|)(\\\\d*)n|)\"+E+\"*(?:([+-]|)\"+E+\"*(\\\\d+)|))\"+E+\"*\\\\)|)\",\"i\"),needsContext:new RegExp(\"^\"+E+\"*[>+~]|\"+K,\"i\")},X=function(a){var b=r.createElement(\"div\");try{return a(b)}catch(c){return!1}finally{b=null}},Y=X(function(a){return a.appendChild(r.createComment(\"\")),!a.getElementsByTagName(\"*\").length}),Z=X(function(a){return a.innerHTML=\"<a href='#'></a>\",a.firstChild&&typeof a.firstChild.getAttribute!==n&&a.firstChild.getAttribute(\"href\")===\"#\"}),$=X(function(a){a.innerHTML=\"<select></select>\";var b=typeof a.lastChild.getAttribute(\"multiple\");return b!==\"boolean\"&&b!==\"string\"}),_=X(function(a){return a.innerHTML=\"<div class='hidden e'></div><div class='hidden'></div>\",!a.getElementsByClassName||!a.getElementsByClassName(\"e\").length?!1:(a.lastChild.className=\"e\",a.getElementsByClassName(\"e\").length===2)}),ba=X(function(a){a.id=o+0,a.innerHTML=\"<a name='\"+o+\"'></a><div name='\"+o+\"'></div>\",s.insertBefore(a,s.firstChild);var b=r.getElementsByName&&r.getElementsByName(o).length===2+r.getElementsByName(o+0).length;return d=!r.getElementById(o),s.removeChild(a),b});try{x.call(s.childNodes,0)[0].nodeType}catch(bb){x=function(a){var b,c=[];for(;b=this[a];a++)c.push(b);return c}}bc.matches=function(a,b){return bc(a,null,null,b)},bc.matchesSelector=function(a,b){return bc(b,null,null,[a]).length>0},f=bc.getText=function(a){var b,c=\"\",d=0,e=a.nodeType;if(e){if(e===1||e===9||e===11){if(typeof a.textContent==\"string\")return a.textContent;for(a=a.firstChild;a;a=a.nextSibling)c+=f(a)}else if(e===3||e===4)return a.nodeValue}else for(;b=a[d];d++)c+=f(b);return c},g=bc.isXML=function(a){var b=a&&(a.ownerDocument||a).documentElement;return b?b.nodeName!==\"HTML\":!1},h=bc.contains=s.contains?function(a,b){var c=a.nodeType===9?a.documentElement:a,d=b&&b.parentNode;return a===d||!!(d&&d.nodeType===1&&c.contains&&c.contains(d))}:s.compareDocumentPosition?function(a,b){return b&&!!(a.compareDocumentPosition(b)&16)}:function(a,b){while(b=b.parentNode)if(b===a)return!0;return!1},bc.attr=function(a,b){var c,d=g(a);return d||(b=b.toLowerCase()),(c=e.attrHandle[b])?c(a):d||$?a.getAttribute(b):(c=a.getAttributeNode(b),c?typeof a[b]==\"boolean\"?a[b]?b:null:c.specified?c.value:null:null)},e=bc.selectors={cacheLength:50,createPseudo:z,match:W,attrHandle:Z?{}:{href:function(a){return a.getAttribute(\"href\",2)},type:function(a){return a.getAttribute(\"type\")}},find:{ID:d?function(a,b,c){if(typeof b.getElementById!==n&&!c){var d=b.getElementById(a);return d&&d.parentNode?[d]:[]}}:function(a,c,d){if(typeof c.getElementById!==n&&!d){var e=c.getElementById(a);return e?e.id===a||typeof e.getAttributeNode!==n&&e.getAttributeNode(\"id\").value===a?[e]:b:[]}},TAG:Y?function(a,b){if(typeof b.getElementsByTagName!==n)return b.getElementsByTagName(a)}:function(a,b){var c=b.getElementsByTagName(a);if(a===\"*\"){var d,e=[],f=0;for(;d=c[f];f++)d.nodeType===1&&e.push(d);return e}return c},NAME:ba&&function(a,b){if(typeof b.getElementsByName!==n)return b.getElementsByName(name)},CLASS:_&&function(a,b,c){if(typeof b.getElementsByClassName!==n&&!c)return b.getElementsByClassName(a)}},relative:{\">\":{dir:\"parentNode\",first:!0},\" \":{dir:\"parentNode\"},\"+\":{dir:\"previousSibling\",first:!0},\"~\":{dir:\"previousSibling\"}},preFilter:{ATTR:function(a){return a[1]=a[1].replace(V,\"\"),a[3]=(a[4]||a[5]||\"\").replace(V,\"\"),a[2]===\"~=\"&&(a[3]=\" \"+a[3]+\" \"),a.slice(0,4)},CHILD:function(a){return a[1]=a[1].toLowerCase(),a[1]===\"nth\"?(a[2]||bc.error(a[0]),a[3]=+(a[3]?a[4]+(a[5]||1):2*(a[2]===\"even\"||a[2]===\"odd\")),a[4]=+(a[6]+a[7]||a[2]===\"odd\")):a[2]&&bc.error(a[0]),a},PSEUDO:function(a){var b,c;if(W.CHILD.test(a[0]))return null;if(a[3])a[2]=a[3];else if(b=a[4])O.test(b)&&(c=bh(b,!0))&&(c=b.indexOf(\")\",b.length-c)-b.length)&&(b=b.slice(0,c),a[0]=a[0].slice(0,c)),a[2]=b;return a.slice(0,3)}},filter:{ID:d?function(a){return a=a.replace(V,\"\"),function(b){return b.getAttribute(\"id\")===a}}:function(a){return a=a.replace(V,\"\"),function(b){var c=typeof b.getAttributeNode!==n&&b.getAttributeNode(\"id\");return c&&c.value===a}},TAG:function(a){return a===\"*\"?function(){return!0}:(a=a.replace(V,\"\").toLowerCase(),function(b){return b.nodeName&&b.nodeName.toLowerCase()===a})},CLASS:function(a){var b=B[o][a];return b||(b=B(a,new RegExp(\"(^|\"+E+\")\"+a+\"(\"+E+\"|$)\"))),function(a){return b.test(a.className||typeof a.getAttribute!==n&&a.getAttribute(\"class\")||\"\")}},ATTR:function(a,b,c){return function(d,e){var f=bc.attr(d,a);return f==null?b===\"!=\":b?(f+=\"\",b===\"=\"?f===c:b===\"!=\"?f!==c:b===\"^=\"?c&&f.indexOf(c)===0:b===\"*=\"?c&&f.indexOf(c)>-1:b===\"$=\"?c&&f.substr(f.length-c.length)===c:b===\"~=\"?(\" \"+f+\" \").indexOf(c)>-1:b===\"|=\"?f===c||f.substr(0,c.length+1)===c+\"-\":!1):!0}},CHILD:function(a,b,c,d){return a===\"nth\"?function(a){var b,e,f=a.parentNode;if(c===1&&d===0)return!0;if(f){e=0;for(b=f.firstChild;b;b=b.nextSibling)if(b.nodeType===1){e++;if(a===b)break}}return e-=d,e===c||e%c===0&&e/c>=0}:function(b){var c=b;switch(a){case\"only\":case\"first\":while(c=c.previousSibling)if(c.nodeType===1)return!1;if(a===\"first\")return!0;c=b;case\"last\":while(c=c.nextSibling)if(c.nodeType===1)return!1;return!0}}},PSEUDO:function(a,b){var c,d=e.pseudos[a]||e.setFilters[a.toLowerCase()]||bc.error(\"unsupported pseudo: \"+a);return d[o]?d(b):d.length>1?(c=[a,a,\"\",b],e.setFilters.hasOwnProperty(a.toLowerCase())?z(function(a,c){var e,f=d(a,b),g=f.length;while(g--)e=y.call(a,f[g]),a[e]=!(c[e]=f[g])}):function(a){return d(a,0,c)}):d}},pseudos:{not:z(function(a){var b=[],c=[],d=i(a.replace(L,\"$1\"));return d[o]?z(function(a,b,c,e){var f,g=d(a,null,e,[]),h=a.length;while(h--)if(f=g[h])a[h]=!(b[h]=f)}):function(a,e,f){return b[0]=a,d(b,null,f,c),!c.pop()}}),has:z(function(a){return function(b){return bc(a,b).length>0}}),contains:z(function(a){return function(b){return(b.textContent||b.innerText||f(b)).indexOf(a)>-1}}),enabled:function(a){return a.disabled===!1},disabled:function(a){return a.disabled===!0},checked:function(a){var b=a.nodeName.toLowerCase();return b===\"input\"&&!!a.checked||b===\"option\"&&!!a.selected},selected:function(a){return a.parentNode&&a.parentNode.selectedIndex,a.selected===!0},parent:function(a){return!e.pseudos.empty(a)},empty:function(a){var b;a=a.firstChild;while(a){if(a.nodeName>\"@\"||(b=a.nodeType)===3||b===4)return!1;a=a.nextSibling}return!0},header:function(a){return T.test(a.nodeName)},text:function(a){var b,c;return a.nodeName.toLowerCase()===\"input\"&&(b=a.type)===\"text\"&&((c=a.getAttribute(\"type\"))==null||c.toLowerCase()===b)},radio:bd(\"radio\"),checkbox:bd(\"checkbox\"),file:bd(\"file\"),password:bd(\"password\"),image:bd(\"image\"),submit:be(\"submit\"),reset:be(\"reset\"),button:function(a){var b=a.nodeName.toLowerCase();return b===\"input\"&&a.type===\"button\"||b===\"button\"},input:function(a){return U.test(a.nodeName)},focus:function(a){var b=a.ownerDocument;return a===b.activeElement&&(!b.hasFocus||b.hasFocus())&&(!!a.type||!!a.href)},active:function(a){return a===a.ownerDocument.activeElement},first:bf(function(a,b,c){return[0]}),last:bf(function(a,b,c){return[b-1]}),eq:bf(function(a,b,c){return[c<0?c+b:c]}),even:bf(function(a,b,c){for(var d=0;d<b;d+=2)a.push(d);return a}),odd:bf(function(a,b,c){for(var d=1;d<b;d+=2)a.push(d);return a}),lt:bf(function(a,b,c){for(var d=c<0?c+b:c;--d>=0;)a.push(d);return a}),gt:bf(function(a,b,c){for(var d=c<0?c+b:c;++d<b;)a.push(d);return a})}},j=s.compareDocumentPosition?function(a,b){return a===b?(k=!0,0):(!a.compareDocumentPosition||!b.compareDocumentPosition?a.compareDocumentPosition:a.compareDocumentPosition(b)&4)?-1:1}:function(a,b){if(a===b)return k=!0,0;if(a.sourceIndex&&b.sourceIndex)return a.sourceIndex-b.sourceIndex;var c,d,e=[],f=[],g=a.parentNode,h=b.parentNode,i=g;if(g===h)return bg(a,b);if(!g)return-1;if(!h)return 1;while(i)e.unshift(i),i=i.parentNode;i=h;while(i)f.unshift(i),i=i.parentNode;c=e.length,d=f.length;for(var j=0;j<c&&j<d;j++)if(e[j]!==f[j])return bg(e[j],f[j]);return j===c?bg(a,f[j],-1):bg(e[j],b,1)},[0,0].sort(j),m=!k,bc.uniqueSort=function(a){var b,c=1;k=m,a.sort(j);if(k)for(;b=a[c];c++)b===a[c-1]&&a.splice(c--,1);return a},bc.error=function(a){throw new Error(\"Syntax error, unrecognized expression: \"+a)},i=bc.compile=function(a,b){var c,d=[],e=[],f=D[o][a];if(!f){b||(b=bh(a)),c=b.length;while(c--)f=bm(b[c]),f[o]?d.push(f):e.push(f);f=D(a,bn(e,d))}return f},r.querySelectorAll&&function(){var a,b=bp,c=/'|\\\\/g,d=/\\=[\\x20\\t\\r\\n\\f]*([^'\"\\]]*)[\\x20\\t\\r\\n\\f]*\\]/g,e=[\":focus\"],f=[\":active\",\":focus\"],h=s.matchesSelector||s.mozMatchesSelector||s.webkitMatchesSelector||s.oMatchesSelector||s.msMatchesSelector;X(function(a){a.innerHTML=\"<select><option selected=''></option></select>\",a.querySelectorAll(\"[selected]\").length||e.push(\"\\\\[\"+E+\"*(?:checked|disabled|ismap|multiple|readonly|selected|value)\"),a.querySelectorAll(\":checked\").length||e.push(\":checked\")}),X(function(a){a.innerHTML=\"<p test=''></p>\",a.querySelectorAll(\"[test^='']\").length&&e.push(\"[*^$]=\"+E+\"*(?:\\\"\\\"|'')\"),a.innerHTML=\"<input type='hidden'/>\",a.querySelectorAll(\":enabled\").length||e.push(\":enabled\",\":disabled\")}),e=new RegExp(e.join(\"|\")),bp=function(a,d,f,g,h){if(!g&&!h&&(!e||!e.test(a))){var i,j,k=!0,l=o,m=d,n=d.nodeType===9&&a;if(d.nodeType===1&&d.nodeName.toLowerCase()!==\"object\"){i=bh(a),(k=d.getAttribute(\"id\"))?l=k.replace(c,\"\\\\$&\"):d.setAttribute(\"id\",l),l=\"[id='\"+l+\"'] \",j=i.length;while(j--)i[j]=l+i[j].join(\"\");m=R.test(a)&&d.parentNode||d,n=i.join(\",\")}if(n)try{return w.apply(f,x.call(m.querySelectorAll(n),0)),f}catch(p){}finally{k||d.removeAttribute(\"id\")}}return b(a,d,f,g,h)},h&&(X(function(b){a=h.call(b,\"div\");try{h.call(b,\"[test!='']:sizzle\"),f.push(\"!=\",J)}catch(c){}}),f=new RegExp(f.join(\"|\")),bc.matchesSelector=function(b,c){c=c.replace(d,\"='$1']\");if(!g(b)&&!f.test(c)&&(!e||!e.test(c)))try{var i=h.call(b,c);if(i||a||b.document&&b.document.nodeType!==11)return i}catch(j){}return bc(c,null,null,[b]).length>0})}(),e.pseudos.nth=e.pseudos.eq,e.filters=bq.prototype=e.pseudos,e.setFilters=new bq,bc.attr=p.attr,p.find=bc,p.expr=bc.selectors,p.expr[\":\"]=p.expr.pseudos,p.unique=bc.uniqueSort,p.text=bc.getText,p.isXMLDoc=bc.isXML,p.contains=bc.contains}(a);var bc=/Until$/,bd=/^(?:parents|prev(?:Until|All))/,be=/^.[^:#\\[\\.,]*$/,bf=p.expr.match.needsContext,bg={children:!0,contents:!0,next:!0,prev:!0};p.fn.extend({find:function(a){var b,c,d,e,f,g,h=this;if(typeof a!=\"string\")return p(a).filter(function(){for(b=0,c=h.length;b<c;b++)if(p.contains(h[b],this))return!0});g=this.pushStack(\"\",\"find\",a);for(b=0,c=this.length;b<c;b++){d=g.length,p.find(a,this[b],g);if(b>0)for(e=d;e<g.length;e++)for(f=0;f<d;f++)if(g[f]===g[e]){g.splice(e--,1);break}}return g},has:function(a){var b,c=p(a,this),d=c.length;return this.filter(function(){for(b=0;b<d;b++)if(p.contains(this,c[b]))return!0})},not:function(a){return this.pushStack(bj(this,a,!1),\"not\",a)},filter:function(a){return this.pushStack(bj(this,a,!0),\"filter\",a)},is:function(a){return!!a&&(typeof a==\"string\"?bf.test(a)?p(a,this.context).index(this[0])>=0:p.filter(a,this).length>0:this.filter(a).length>0)},closest:function(a,b){var c,d=0,e=this.length,f=[],g=bf.test(a)||typeof a!=\"string\"?p(a,b||this.context):0;for(;d<e;d++){c=this[d];while(c&&c.ownerDocument&&c!==b&&c.nodeType!==11){if(g?g.index(c)>-1:p.find.matchesSelector(c,a)){f.push(c);break}c=c.parentNode}}return f=f.length>1?p.unique(f):f,this.pushStack(f,\"closest\",a)},index:function(a){return a?typeof a==\"string\"?p.inArray(this[0],p(a)):p.inArray(a.jquery?a[0]:a,this):this[0]&&this[0].parentNode?this.prevAll().length:-1},add:function(a,b){var c=typeof a==\"string\"?p(a,b):p.makeArray(a&&a.nodeType?[a]:a),d=p.merge(this.get(),c);return this.pushStack(bh(c[0])||bh(d[0])?d:p.unique(d))},addBack:function(a){return this.add(a==null?this.prevObject:this.prevObject.filter(a))}}),p.fn.andSelf=p.fn.addBack,p.each({parent:function(a){var b=a.parentNode;return b&&b.nodeType!==11?b:null},parents:function(a){return p.dir(a,\"parentNode\")},parentsUntil:function(a,b,c){return p.dir(a,\"parentNode\",c)},next:function(a){return bi(a,\"nextSibling\")},prev:function(a){return bi(a,\"previousSibling\")},nextAll:function(a){return p.dir(a,\"nextSibling\")},prevAll:function(a){return p.dir(a,\"previousSibling\")},nextUntil:function(a,b,c){return p.dir(a,\"nextSibling\",c)},prevUntil:function(a,b,c){return p.dir(a,\"previousSibling\",c)},siblings:function(a){return p.sibling((a.parentNode||{}).firstChild,a)},children:function(a){return p.sibling(a.firstChild)},contents:function(a){return p.nodeName(a,\"iframe\")?a.contentDocument||a.contentWindow.document:p.merge([],a.childNodes)}},function(a,b){p.fn[a]=function(c,d){var e=p.map(this,b,c);return bc.test(a)||(d=c),d&&typeof d==\"string\"&&(e=p.filter(d,e)),e=this.length>1&&!bg[a]?p.unique(e):e,this.length>1&&bd.test(a)&&(e=e.reverse()),this.pushStack(e,a,k.call(arguments).join(\",\"))}}),p.extend({filter:function(a,b,c){return c&&(a=\":not(\"+a+\")\"),b.length===1?p.find.matchesSelector(b[0],a)?[b[0]]:[]:p.find.matches(a,b)},dir:function(a,c,d){var e=[],f=a[c];while(f&&f.nodeType!==9&&(d===b||f.nodeType!==1||!p(f).is(d)))f.nodeType===1&&e.push(f),f=f[c];return e},sibling:function(a,b){var c=[];for(;a;a=a.nextSibling)a.nodeType===1&&a!==b&&c.push(a);return c}});var bl=\"abbr|article|aside|audio|bdi|canvas|data|datalist|details|figcaption|figure|footer|header|hgroup|mark|meter|nav|output|progress|section|summary|time|video\",bm=/ jQuery\\d+=\"(?:null|\\d+)\"/g,bn=/^\\s+/,bo=/<(?!area|br|col|embed|hr|img|input|link|meta|param)(([\\w:]+)[^>]*)\\/>/gi,bp=/<([\\w:]+)/,bq=/<tbody/i,br=/<|&#?\\w+;/,bs=/<(?:script|style|link)/i,bt=/<(?:script|object|embed|option|style)/i,bu=new RegExp(\"<(?:\"+bl+\")[\\\\s/>]\",\"i\"),bv=/^(?:checkbox|radio)$/,bw=/checked\\s*(?:[^=]|=\\s*.checked.)/i,bx=/\\/(java|ecma)script/i,by=/^\\s*<!(?:\\[CDATA\\[|\\-\\-)|[\\]\\-]{2}>\\s*$/g,bz={option:[1,\"<select multiple='multiple'>\",\"</select>\"],legend:[1,\"<fieldset>\",\"</fieldset>\"],thead:[1,\"<table>\",\"</table>\"],tr:[2,\"<table><tbody>\",\"</tbody></table>\"],td:[3,\"<table><tbody><tr>\",\"</tr></tbody></table>\"],col:[2,\"<table><tbody></tbody><colgroup>\",\"</colgroup></table>\"],area:[1,\"<map>\",\"</map>\"],_default:[0,\"\",\"\"]},bA=bk(e),bB=bA.appendChild(e.createElement(\"div\"));bz.optgroup=bz.option,bz.tbody=bz.tfoot=bz.colgroup=bz.caption=bz.thead,bz.th=bz.td,p.support.htmlSerialize||(bz._default=[1,\"X<div>\",\"</div>\"]),p.fn.extend({text:function(a){return p.access(this,function(a){return a===b?p.text(this):this.empty().append((this[0]&&this[0].ownerDocument||e).createTextNode(a))},null,a,arguments.length)},wrapAll:function(a){if(p.isFunction(a))return this.each(function(b){p(this).wrapAll(a.call(this,b))});if(this[0]){var b=p(a,this[0].ownerDocument).eq(0).clone(!0);this[0].parentNode&&b.insertBefore(this[0]),b.map(function(){var a=this;while(a.firstChild&&a.firstChild.nodeType===1)a=a.firstChild;return a}).append(this)}return this},wrapInner:function(a){return p.isFunction(a)?this.each(function(b){p(this).wrapInner(a.call(this,b))}):this.each(function(){var b=p(this),c=b.contents();c.length?c.wrapAll(a):b.append(a)})},wrap:function(a){var b=p.isFunction(a);return this.each(function(c){p(this).wrapAll(b?a.call(this,c):a)})},unwrap:function(){return this.parent().each(function(){p.nodeName(this,\"body\")||p(this).replaceWith(this.childNodes)}).end()},append:function(){return this.domManip(arguments,!0,function(a){(this.nodeType===1||this.nodeType===11)&&this.appendChild(a)})},prepend:function(){return this.domManip(arguments,!0,function(a){(this.nodeType===1||this.nodeType===11)&&this.insertBefore(a,this.firstChild)})},before:function(){if(!bh(this[0]))return this.domManip(arguments,!1,function(a){this.parentNode.insertBefore(a,this)});if(arguments.length){var a=p.clean(arguments);return this.pushStack(p.merge(a,this),\"before\",this.selector)}},after:function(){if(!bh(this[0]))return this.domManip(arguments,!1,function(a){this.parentNode.insertBefore(a,this.nextSibling)});if(arguments.length){var a=p.clean(arguments);return this.pushStack(p.merge(this,a),\"after\",this.selector)}},remove:function(a,b){var c,d=0;for(;(c=this[d])!=null;d++)if(!a||p.filter(a,[c]).length)!b&&c.nodeType===1&&(p.cleanData(c.getElementsByTagName(\"*\")),p.cleanData([c])),c.parentNode&&c.parentNode.removeChild(c);return this},empty:function(){var a,b=0;for(;(a=this[b])!=null;b++){a.nodeType===1&&p.cleanData(a.getElementsByTagName(\"*\"));while(a.firstChild)a.removeChild(a.firstChild)}return this},clone:function(a,b){return a=a==null?!1:a,b=b==null?a:b,this.map(function(){return p.clone(this,a,b)})},html:function(a){return p.access(this,function(a){var c=this[0]||{},d=0,e=this.length;if(a===b)return c.nodeType===1?c.innerHTML.replace(bm,\"\"):b;if(typeof a==\"string\"&&!bs.test(a)&&(p.support.htmlSerialize||!bu.test(a))&&(p.support.leadingWhitespace||!bn.test(a))&&!bz[(bp.exec(a)||[\"\",\"\"])[1].toLowerCase()]){a=a.replace(bo,\"<$1></$2>\");try{for(;d<e;d++)c=this[d]||{},c.nodeType===1&&(p.cleanData(c.getElementsByTagName(\"*\")),c.innerHTML=a);c=0}catch(f){}}c&&this.empty().append(a)},null,a,arguments.length)},replaceWith:function(a){return bh(this[0])?this.length?this.pushStack(p(p.isFunction(a)?a():a),\"replaceWith\",a):this:p.isFunction(a)?this.each(function(b){var c=p(this),d=c.html();c.replaceWith(a.call(this,b,d))}):(typeof a!=\"string\"&&(a=p(a).detach()),this.each(function(){var b=this.nextSibling,c=this.parentNode;p(this).remove(),b?p(b).before(a):p(c).append(a)}))},detach:function(a){return this.remove(a,!0)},domManip:function(a,c,d){a=[].concat.apply([],a);var e,f,g,h,i=0,j=a[0],k=[],l=this.length;if(!p.support.checkClone&&l>1&&typeof j==\"string\"&&bw.test(j))return this.each(function(){p(this).domManip(a,c,d)});if(p.isFunction(j))return this.each(function(e){var f=p(this);a[0]=j.call(this,e,c?f.html():b),f.domManip(a,c,d)});if(this[0]){e=p.buildFragment(a,this,k),g=e.fragment,f=g.firstChild,g.childNodes.length===1&&(g=f);if(f){c=c&&p.nodeName(f,\"tr\");for(h=e.cacheable||l-1;i<l;i++)d.call(c&&p.nodeName(this[i],\"table\")?bC(this[i],\"tbody\"):this[i],i===h?g:p.clone(g,!0,!0))}g=f=null,k.length&&p.each(k,function(a,b){b.src?p.ajax?p.ajax({url:b.src,type:\"GET\",dataType:\"script\",async:!1,global:!1,\"throws\":!0}):p.error(\"no ajax\"):p.globalEval((b.text||b.textContent||b.innerHTML||\"\").replace(by,\"\")),b.parentNode&&b.parentNode.removeChild(b)})}return this}}),p.buildFragment=function(a,c,d){var f,g,h,i=a[0];return c=c||e,c=!c.nodeType&&c[0]||c,c=c.ownerDocument||c,a.length===1&&typeof i==\"string\"&&i.length<512&&c===e&&i.charAt(0)===\"<\"&&!bt.test(i)&&(p.support.checkClone||!bw.test(i))&&(p.support.html5Clone||!bu.test(i))&&(g=!0,f=p.fragments[i],h=f!==b),f||(f=c.createDocumentFragment(),p.clean(a,c,f,d),g&&(p.fragments[i]=h&&f)),{fragment:f,cacheable:g}},p.fragments={},p.each({appendTo:\"append\",prependTo:\"prepend\",insertBefore:\"before\",insertAfter:\"after\",replaceAll:\"replaceWith\"},function(a,b){p.fn[a]=function(c){var d,e=0,f=[],g=p(c),h=g.length,i=this.length===1&&this[0].parentNode;if((i==null||i&&i.nodeType===11&&i.childNodes.length===1)&&h===1)return g[b](this[0]),this;for(;e<h;e++)d=(e>0?this.clone(!0):this).get(),p(g[e])[b](d),f=f.concat(d);return this.pushStack(f,a,g.selector)}}),p.extend({clone:function(a,b,c){var d,e,f,g;p.support.html5Clone||p.isXMLDoc(a)||!bu.test(\"<\"+a.nodeName+\">\")?g=a.cloneNode(!0):(bB.innerHTML=a.outerHTML,bB.removeChild(g=bB.firstChild));if((!p.support.noCloneEvent||!p.support.noCloneChecked)&&(a.nodeType===1||a.nodeType===11)&&!p.isXMLDoc(a)){bE(a,g),d=bF(a),e=bF(g);for(f=0;d[f];++f)e[f]&&bE(d[f],e[f])}if(b){bD(a,g);if(c){d=bF(a),e=bF(g);for(f=0;d[f];++f)bD(d[f],e[f])}}return d=e=null,g},clean:function(a,b,c,d){var f,g,h,i,j,k,l,m,n,o,q,r,s=b===e&&bA,t=[];if(!b||typeof b.createDocumentFragment==\"undefined\")b=e;for(f=0;(h=a[f])!=null;f++){typeof h==\"number\"&&(h+=\"\");if(!h)continue;if(typeof h==\"string\")if(!br.test(h))h=b.createTextNode(h);else{s=s||bk(b),l=b.createElement(\"div\"),s.appendChild(l),h=h.replace(bo,\"<$1></$2>\"),i=(bp.exec(h)||[\"\",\"\"])[1].toLowerCase(),j=bz[i]||bz._default,k=j[0],l.innerHTML=j[1]+h+j[2];while(k--)l=l.lastChild;if(!p.support.tbody){m=bq.test(h),n=i===\"table\"&&!m?l.firstChild&&l.firstChild.childNodes:j[1]===\"<table>\"&&!m?l.childNodes:[];for(g=n.length-1;g>=0;--g)p.nodeName(n[g],\"tbody\")&&!n[g].childNodes.length&&n[g].parentNode.removeChild(n[g])}!p.support.leadingWhitespace&&bn.test(h)&&l.insertBefore(b.createTextNode(bn.exec(h)[0]),l.firstChild),h=l.childNodes,l.parentNode.removeChild(l)}h.nodeType?t.push(h):p.merge(t,h)}l&&(h=l=s=null);if(!p.support.appendChecked)for(f=0;(h=t[f])!=null;f++)p.nodeName(h,\"input\")?bG(h):typeof h.getElementsByTagName!=\"undefined\"&&p.grep(h.getElementsByTagName(\"input\"),bG);if(c){q=function(a){if(!a.type||bx.test(a.type))return d?d.push(a.parentNode?a.parentNode.removeChild(a):a):c.appendChild(a)};for(f=0;(h=t[f])!=null;f++)if(!p.nodeName(h,\"script\")||!q(h))c.appendChild(h),typeof h.getElementsByTagName!=\"undefined\"&&(r=p.grep(p.merge([],h.getElementsByTagName(\"script\")),q),t.splice.apply(t,[f+1,0].concat(r)),f+=r.length)}return t},cleanData:function(a,b){var c,d,e,f,g=0,h=p.expando,i=p.cache,j=p.support.deleteExpando,k=p.event.special;for(;(e=a[g])!=null;g++)if(b||p.acceptData(e)){d=e[h],c=d&&i[d];if(c){if(c.events)for(f in c.events)k[f]?p.event.remove(e,f):p.removeEvent(e,f,c.handle);i[d]&&(delete i[d],j?delete e[h]:e.removeAttribute?e.removeAttribute(h):e[h]=null,p.deletedIds.push(d))}}}}),function(){var a,b;p.uaMatch=function(a){a=a.toLowerCase();var b=/(chrome)[ \\/]([\\w.]+)/.exec(a)||/(webkit)[ \\/]([\\w.]+)/.exec(a)||/(opera)(?:.*version|)[ \\/]([\\w.]+)/.exec(a)||/(msie) ([\\w.]+)/.exec(a)||a.indexOf(\"compatible\")<0&&/(mozilla)(?:.*? rv:([\\w.]+)|)/.exec(a)||[];return{browser:b[1]||\"\",version:b[2]||\"0\"}},a=p.uaMatch(g.userAgent),b={},a.browser&&(b[a.browser]=!0,b.version=a.version),b.chrome?b.webkit=!0:b.webkit&&(b.safari=!0),p.browser=b,p.sub=function(){function a(b,c){return new a.fn.init(b,c)}p.extend(!0,a,this),a.superclass=this,a.fn=a.prototype=this(),a.fn.constructor=a,a.sub=this.sub,a.fn.init=function c(c,d){return d&&d instanceof p&&!(d instanceof a)&&(d=a(d)),p.fn.init.call(this,c,d,b)},a.fn.init.prototype=a.fn;var b=a(e);return a}}();var bH,bI,bJ,bK=/alpha\\([^)]*\\)/i,bL=/opacity=([^)]*)/,bM=/^(top|right|bottom|left)$/,bN=/^(none|table(?!-c[ea]).+)/,bO=/^margin/,bP=new RegExp(\"^(\"+q+\")(.*)$\",\"i\"),bQ=new RegExp(\"^(\"+q+\")(?!px)[a-z%]+$\",\"i\"),bR=new RegExp(\"^([-+])=(\"+q+\")\",\"i\"),bS={},bT={position:\"absolute\",visibility:\"hidden\",display:\"block\"},bU={letterSpacing:0,fontWeight:400},bV=[\"Top\",\"Right\",\"Bottom\",\"Left\"],bW=[\"Webkit\",\"O\",\"Moz\",\"ms\"],bX=p.fn.toggle;p.fn.extend({css:function(a,c){return p.access(this,function(a,c,d){return d!==b?p.style(a,c,d):p.css(a,c)},a,c,arguments.length>1)},show:function(){return b$(this,!0)},hide:function(){return b$(this)},toggle:function(a,b){var c=typeof a==\"boolean\";return p.isFunction(a)&&p.isFunction(b)?bX.apply(this,arguments):this.each(function(){(c?a:bZ(this))?p(this).show():p(this).hide()})}}),p.extend({cssHooks:{opacity:{get:function(a,b){if(b){var c=bH(a,\"opacity\");return c===\"\"?\"1\":c}}}},cssNumber:{fillOpacity:!0,fontWeight:!0,lineHeight:!0,opacity:!0,orphans:!0,widows:!0,zIndex:!0,zoom:!0},cssProps:{\"float\":p.support.cssFloat?\"cssFloat\":\"styleFloat\"},style:function(a,c,d,e){if(!a||a.nodeType===3||a.nodeType===8||!a.style)return;var f,g,h,i=p.camelCase(c),j=a.style;c=p.cssProps[i]||(p.cssProps[i]=bY(j,i)),h=p.cssHooks[c]||p.cssHooks[i];if(d===b)return h&&\"get\"in h&&(f=h.get(a,!1,e))!==b?f:j[c];g=typeof d,g===\"string\"&&(f=bR.exec(d))&&(d=(f[1]+1)*f[2]+parseFloat(p.css(a,c)),g=\"number\");if(d==null||g===\"number\"&&isNaN(d))return;g===\"number\"&&!p.cssNumber[i]&&(d+=\"px\");if(!h||!(\"set\"in h)||(d=h.set(a,d,e))!==b)try{j[c]=d}catch(k){}},css:function(a,c,d,e){var f,g,h,i=p.camelCase(c);return c=p.cssProps[i]||(p.cssProps[i]=bY(a.style,i)),h=p.cssHooks[c]||p.cssHooks[i],h&&\"get\"in h&&(f=h.get(a,!0,e)),f===b&&(f=bH(a,c)),f===\"normal\"&&c in bU&&(f=bU[c]),d||e!==b?(g=parseFloat(f),d||p.isNumeric(g)?g||0:f):f},swap:function(a,b,c){var d,e,f={};for(e in b)f[e]=a.style[e],a.style[e]=b[e];d=c.call(a);for(e in b)a.style[e]=f[e];return d}}),a.getComputedStyle?bH=function(b,c){var d,e,f,g,h=a.getComputedStyle(b,null),i=b.style;return h&&(d=h[c],d===\"\"&&!p.contains(b.ownerDocument,b)&&(d=p.style(b,c)),bQ.test(d)&&bO.test(c)&&(e=i.width,f=i.minWidth,g=i.maxWidth,i.minWidth=i.maxWidth=i.width=d,d=h.width,i.width=e,i.minWidth=f,i.maxWidth=g)),d}:e.documentElement.currentStyle&&(bH=function(a,b){var c,d,e=a.currentStyle&&a.currentStyle[b],f=a.style;return e==null&&f&&f[b]&&(e=f[b]),bQ.test(e)&&!bM.test(b)&&(c=f.left,d=a.runtimeStyle&&a.runtimeStyle.left,d&&(a.runtimeStyle.left=a.currentStyle.left),f.left=b===\"fontSize\"?\"1em\":e,e=f.pixelLeft+\"px\",f.left=c,d&&(a.runtimeStyle.left=d)),e===\"\"?\"auto\":e}),p.each([\"height\",\"width\"],function(a,b){p.cssHooks[b]={get:function(a,c,d){if(c)return a.offsetWidth===0&&bN.test(bH(a,\"display\"))?p.swap(a,bT,function(){return cb(a,b,d)}):cb(a,b,d)},set:function(a,c,d){return b_(a,c,d?ca(a,b,d,p.support.boxSizing&&p.css(a,\"boxSizing\")===\"border-box\"):0)}}}),p.support.opacity||(p.cssHooks.opacity={get:function(a,b){return bL.test((b&&a.currentStyle?a.currentStyle.filter:a.style.filter)||\"\")?.01*parseFloat(RegExp.$1)+\"\":b?\"1\":\"\"},set:function(a,b){var c=a.style,d=a.currentStyle,e=p.isNumeric(b)?\"alpha(opacity=\"+b*100+\")\":\"\",f=d&&d.filter||c.filter||\"\";c.zoom=1;if(b>=1&&p.trim(f.replace(bK,\"\"))===\"\"&&c.removeAttribute){c.removeAttribute(\"filter\");if(d&&!d.filter)return}c.filter=bK.test(f)?f.replace(bK,e):f+\" \"+e}}),p(function(){p.support.reliableMarginRight||(p.cssHooks.marginRight={get:function(a,b){return p.swap(a,{display:\"inline-block\"},function(){if(b)return bH(a,\"marginRight\")})}}),!p.support.pixelPosition&&p.fn.position&&p.each([\"top\",\"left\"],function(a,b){p.cssHooks[b]={get:function(a,c){if(c){var d=bH(a,b);return bQ.test(d)?p(a).position()[b]+\"px\":d}}}})}),p.expr&&p.expr.filters&&(p.expr.filters.hidden=function(a){return a.offsetWidth===0&&a.offsetHeight===0||!p.support.reliableHiddenOffsets&&(a.style&&a.style.display||bH(a,\"display\"))===\"none\"},p.expr.filters.visible=function(a){return!p.expr.filters.hidden(a)}),p.each({margin:\"\",padding:\"\",border:\"Width\"},function(a,b){p.cssHooks[a+b]={expand:function(c){var d,e=typeof c==\"string\"?c.split(\" \"):[c],f={};for(d=0;d<4;d++)f[a+bV[d]+b]=e[d]||e[d-2]||e[0];return f}},bO.test(a)||(p.cssHooks[a+b].set=b_)});var cd=/%20/g,ce=/\\[\\]$/,cf=/\\r?\\n/g,cg=/^(?:color|date|datetime|datetime-local|email|hidden|month|number|password|range|search|tel|text|time|url|week)$/i,ch=/^(?:select|textarea)/i;p.fn.extend({serialize:function(){return p.param(this.serializeArray())},serializeArray:function(){return this.map(function(){return this.elements?p.makeArray(this.elements):this}).filter(function(){return this.name&&!this.disabled&&(this.checked||ch.test(this.nodeName)||cg.test(this.type))}).map(function(a,b){var c=p(this).val();return c==null?null:p.isArray(c)?p.map(c,function(a,c){return{name:b.name,value:a.replace(cf,\"\\r\\n\")}}):{name:b.name,value:c.replace(cf,\"\\r\\n\")}}).get()}}),p.param=function(a,c){var d,e=[],f=function(a,b){b=p.isFunction(b)?b():b==null?\"\":b,e[e.length]=encodeURIComponent(a)+\"=\"+encodeURIComponent(b)};c===b&&(c=p.ajaxSettings&&p.ajaxSettings.traditional);if(p.isArray(a)||a.jquery&&!p.isPlainObject(a))p.each(a,function(){f(this.name,this.value)});else for(d in a)ci(d,a[d],c,f);return e.join(\"&\").replace(cd,\"+\")};var cj,ck,cl=/#.*$/,cm=/^(.*?):[ \\t]*([^\\r\\n]*)\\r?$/mg,cn=/^(?:about|app|app\\-storage|.+\\-extension|file|res|widget):$/,co=/^(?:GET|HEAD)$/,cp=/^\\/\\//,cq=/\\?/,cr=/<script\\b[^<]*(?:(?!<\\/script>)<[^<]*)*<\\/script>/gi,cs=/([?&])_=[^&]*/,ct=/^([\\w\\+\\.\\-]+:)(?:\\/\\/([^\\/?#:]*)(?::(\\d+)|)|)/,cu=p.fn.load,cv={},cw={},cx=[\"*/\"]+[\"*\"];try{ck=f.href}catch(cy){ck=e.createElement(\"a\"),ck.href=\"\",ck=ck.href}cj=ct.exec(ck.toLowerCase())||[],p.fn.load=function(a,c,d){if(typeof a!=\"string\"&&cu)return cu.apply(this,arguments);if(!this.length)return this;var e,f,g,h=this,i=a.indexOf(\" \");return i>=0&&(e=a.slice(i,a.length),a=a.slice(0,i)),p.isFunction(c)?(d=c,c=b):c&&typeof c==\"object\"&&(f=\"POST\"),p.ajax({url:a,type:f,dataType:\"html\",data:c,complete:function(a,b){d&&h.each(d,g||[a.responseText,b,a])}}).done(function(a){g=arguments,h.html(e?p(\"<div>\").append(a.replace(cr,\"\")).find(e):a)}),this},p.each(\"ajaxStart ajaxStop ajaxComplete ajaxError ajaxSuccess ajaxSend\".split(\" \"),function(a,b){p.fn[b]=function(a){return this.on(b,a)}}),p.each([\"get\",\"post\"],function(a,c){p[c]=function(a,d,e,f){return p.isFunction(d)&&(f=f||e,e=d,d=b),p.ajax({type:c,url:a,data:d,success:e,dataType:f})}}),p.extend({getScript:function(a,c){return p.get(a,b,c,\"script\")},getJSON:function(a,b,c){return p.get(a,b,c,\"json\")},ajaxSetup:function(a,b){return b?cB(a,p.ajaxSettings):(b=a,a=p.ajaxSettings),cB(a,b),a},ajaxSettings:{url:ck,isLocal:cn.test(cj[1]),global:!0,type:\"GET\",contentType:\"application/x-www-form-urlencoded; charset=UTF-8\",processData:!0,async:!0,accepts:{xml:\"application/xml, text/xml\",html:\"text/html\",text:\"text/plain\",json:\"application/json, text/javascript\",\"*\":cx},contents:{xml:/xml/,html:/html/,json:/json/},responseFields:{xml:\"responseXML\",text:\"responseText\"},converters:{\"* text\":a.String,\"text html\":!0,\"text json\":p.parseJSON,\"text xml\":p.parseXML},flatOptions:{context:!0,url:!0}},ajaxPrefilter:cz(cv),ajaxTransport:cz(cw),ajax:function(a,c){function y(a,c,f,i){var k,s,t,u,w,y=c;if(v===2)return;v=2,h&&clearTimeout(h),g=b,e=i||\"\",x.readyState=a>0?4:0,f&&(u=cC(l,x,f));if(a>=200&&a<300||a===304)l.ifModified&&(w=x.getResponseHeader(\"Last-Modified\"),w&&(p.lastModified[d]=w),w=x.getResponseHeader(\"Etag\"),w&&(p.etag[d]=w)),a===304?(y=\"notmodified\",k=!0):(k=cD(l,u),y=k.state,s=k.data,t=k.error,k=!t);else{t=y;if(!y||a)y=\"error\",a<0&&(a=0)}x.status=a,x.statusText=(c||y)+\"\",k?o.resolveWith(m,[s,y,x]):o.rejectWith(m,[x,y,t]),x.statusCode(r),r=b,j&&n.trigger(\"ajax\"+(k?\"Success\":\"Error\"),[x,l,k?s:t]),q.fireWith(m,[x,y]),j&&(n.trigger(\"ajaxComplete\",[x,l]),--p.active||p.event.trigger(\"ajaxStop\"))}typeof a==\"object\"&&(c=a,a=b),c=c||{};var d,e,f,g,h,i,j,k,l=p.ajaxSetup({},c),m=l.context||l,n=m!==l&&(m.nodeType||m instanceof p)?p(m):p.event,o=p.Deferred(),q=p.Callbacks(\"once memory\"),r=l.statusCode||{},t={},u={},v=0,w=\"canceled\",x={readyState:0,setRequestHeader:function(a,b){if(!v){var c=a.toLowerCase();a=u[c]=u[c]||a,t[a]=b}return this},getAllResponseHeaders:function(){return v===2?e:null},getResponseHeader:function(a){var c;if(v===2){if(!f){f={};while(c=cm.exec(e))f[c[1].toLowerCase()]=c[2]}c=f[a.toLowerCase()]}return c===b?null:c},overrideMimeType:function(a){return v||(l.mimeType=a),this},abort:function(a){return a=a||w,g&&g.abort(a),y(0,a),this}};o.promise(x),x.success=x.done,x.error=x.fail,x.complete=q.add,x.statusCode=function(a){if(a){var b;if(v<2)for(b in a)r[b]=[r[b],a[b]];else b=a[x.status],x.always(b)}return this},l.url=((a||l.url)+\"\").replace(cl,\"\").replace(cp,cj[1]+\"//\"),l.dataTypes=p.trim(l.dataType||\"*\").toLowerCase().split(s),l.crossDomain==null&&(i=ct.exec(l.url.toLowerCase())||!1,l.crossDomain=i&&i.join(\":\")+(i[3]?\"\":i[1]===\"http:\"?80:443)!==cj.join(\":\")+(cj[3]?\"\":cj[1]===\"http:\"?80:443)),l.data&&l.processData&&typeof l.data!=\"string\"&&(l.data=p.param(l.data,l.traditional)),cA(cv,l,c,x);if(v===2)return x;j=l.global,l.type=l.type.toUpperCase(),l.hasContent=!co.test(l.type),j&&p.active++===0&&p.event.trigger(\"ajaxStart\");if(!l.hasContent){l.data&&(l.url+=(cq.test(l.url)?\"&\":\"?\")+l.data,delete l.data),d=l.url;if(l.cache===!1){var z=p.now(),A=l.url.replace(cs,\"$1_=\"+z);l.url=A+(A===l.url?(cq.test(l.url)?\"&\":\"?\")+\"_=\"+z:\"\")}}(l.data&&l.hasContent&&l.contentType!==!1||c.contentType)&&x.setRequestHeader(\"Content-Type\",l.contentType),l.ifModified&&(d=d||l.url,p.lastModified[d]&&x.setRequestHeader(\"If-Modified-Since\",p.lastModified[d]),p.etag[d]&&x.setRequestHeader(\"If-None-Match\",p.etag[d])),x.setRequestHeader(\"Accept\",l.dataTypes[0]&&l.accepts[l.dataTypes[0]]?l.accepts[l.dataTypes[0]]+(l.dataTypes[0]!==\"*\"?\", \"+cx+\"; q=0.01\":\"\"):l.accepts[\"*\"]);for(k in l.headers)x.setRequestHeader(k,l.headers[k]);if(!l.beforeSend||l.beforeSend.call(m,x,l)!==!1&&v!==2){w=\"abort\";for(k in{success:1,error:1,complete:1})x[k](l[k]);g=cA(cw,l,c,x);if(!g)y(-1,\"No Transport\");else{x.readyState=1,j&&n.trigger(\"ajaxSend\",[x,l]),l.async&&l.timeout>0&&(h=setTimeout(function(){x.abort(\"timeout\")},l.timeout));try{v=1,g.send(t,y)}catch(B){if(v<2)y(-1,B);else throw B}}return x}return x.abort()},active:0,lastModified:{},etag:{}});var cE=[],cF=/\\?/,cG=/(=)\\?(?=&|$)|\\?\\?/,cH=p.now();p.ajaxSetup({jsonp:\"callback\",jsonpCallback:function(){var a=cE.pop()||p.expando+\"_\"+cH++;return this[a]=!0,a}}),p.ajaxPrefilter(\"json jsonp\",function(c,d,e){var f,g,h,i=c.data,j=c.url,k=c.jsonp!==!1,l=k&&cG.test(j),m=k&&!l&&typeof i==\"string\"&&!(c.contentType||\"\").indexOf(\"application/x-www-form-urlencoded\")&&cG.test(i);if(c.dataTypes[0]===\"jsonp\"||l||m)return f=c.jsonpCallback=p.isFunction(c.jsonpCallback)?c.jsonpCallback():c.jsonpCallback,g=a[f],l?c.url=j.replace(cG,\"$1\"+f):m?c.data=i.replace(cG,\"$1\"+f):k&&(c.url+=(cF.test(j)?\"&\":\"?\")+c.jsonp+\"=\"+f),c.converters[\"script json\"]=function(){return h||p.error(f+\" was not called\"),h[0]},c.dataTypes[0]=\"json\",a[f]=function(){h=arguments},e.always(function(){a[f]=g,c[f]&&(c.jsonpCallback=d.jsonpCallback,cE.push(f)),h&&p.isFunction(g)&&g(h[0]),h=g=b}),\"script\"}),p.ajaxSetup({accepts:{script:\"text/javascript, application/javascript, application/ecmascript, application/x-ecmascript\"},contents:{script:/javascript|ecmascript/},converters:{\"text script\":function(a){return p.globalEval(a),a}}}),p.ajaxPrefilter(\"script\",function(a){a.cache===b&&(a.cache=!1),a.crossDomain&&(a.type=\"GET\",a.global=!1)}),p.ajaxTransport(\"script\",function(a){if(a.crossDomain){var c,d=e.head||e.getElementsByTagName(\"head\")[0]||e.documentElement;return{send:function(f,g){c=e.createElement(\"script\"),c.async=\"async\",a.scriptCharset&&(c.charset=a.scriptCharset),c.src=a.url,c.onload=c.onreadystatechange=function(a,e){if(e||!c.readyState||/loaded|complete/.test(c.readyState))c.onload=c.onreadystatechange=null,d&&c.parentNode&&d.removeChild(c),c=b,e||g(200,\"success\")},d.insertBefore(c,d.firstChild)},abort:function(){c&&c.onload(0,1)}}}});var cI,cJ=a.ActiveXObject?function(){for(var a in cI)cI[a](0,1)}:!1,cK=0;p.ajaxSettings.xhr=a.ActiveXObject?function(){return!this.isLocal&&cL()||cM()}:cL,function(a){p.extend(p.support,{ajax:!!a,cors:!!a&&\"withCredentials\"in a})}(p.ajaxSettings.xhr()),p.support.ajax&&p.ajaxTransport(function(c){if(!c.crossDomain||p.support.cors){var d;return{send:function(e,f){var g,h,i=c.xhr();c.username?i.open(c.type,c.url,c.async,c.username,c.password):i.open(c.type,c.url,c.async);if(c.xhrFields)for(h in c.xhrFields)i[h]=c.xhrFields[h];c.mimeType&&i.overrideMimeType&&i.overrideMimeType(c.mimeType),!c.crossDomain&&!e[\"X-Requested-With\"]&&(e[\"X-Requested-With\"]=\"XMLHttpRequest\");try{for(h in e)i.setRequestHeader(h,e[h])}catch(j){}i.send(c.hasContent&&c.data||null),d=function(a,e){var h,j,k,l,m;try{if(d&&(e||i.readyState===4)){d=b,g&&(i.onreadystatechange=p.noop,cJ&&delete cI[g]);if(e)i.readyState!==4&&i.abort();else{h=i.status,k=i.getAllResponseHeaders(),l={},m=i.responseXML,m&&m.documentElement&&(l.xml=m);try{l.text=i.responseText}catch(a){}try{j=i.statusText}catch(n){j=\"\"}!h&&c.isLocal&&!c.crossDomain?h=l.text?200:404:h===1223&&(h=204)}}}catch(o){e||f(-1,o)}l&&f(h,j,l,k)},c.async?i.readyState===4?setTimeout(d,0):(g=++cK,cJ&&(cI||(cI={},p(a).unload(cJ)),cI[g]=d),i.onreadystatechange=d):d()},abort:function(){d&&d(0,1)}}}});var cN,cO,cP=/^(?:toggle|show|hide)$/,cQ=new RegExp(\"^(?:([-+])=|)(\"+q+\")([a-z%]*)$\",\"i\"),cR=/queueHooks$/,cS=[cY],cT={\"*\":[function(a,b){var c,d,e=this.createTween(a,b),f=cQ.exec(b),g=e.cur(),h=+g||0,i=1,j=20;if(f){c=+f[2],d=f[3]||(p.cssNumber[a]?\"\":\"px\");if(d!==\"px\"&&h){h=p.css(e.elem,a,!0)||c||1;do i=i||\".5\",h=h/i,p.style(e.elem,a,h+d);while(i!==(i=e.cur()/g)&&i!==1&&--j)}e.unit=d,e.start=h,e.end=f[1]?h+(f[1]+1)*c:c}return e}]};p.Animation=p.extend(cW,{tweener:function(a,b){p.isFunction(a)?(b=a,a=[\"*\"]):a=a.split(\" \");var c,d=0,e=a.length;for(;d<e;d++)c=a[d],cT[c]=cT[c]||[],cT[c].unshift(b)},prefilter:function(a,b){b?cS.unshift(a):cS.push(a)}}),p.Tween=cZ,cZ.prototype={constructor:cZ,init:function(a,b,c,d,e,f){this.elem=a,this.prop=c,this.easing=e||\"swing\",this.options=b,this.start=this.now=this.cur(),this.end=d,this.unit=f||(p.cssNumber[c]?\"\":\"px\")},cur:function(){var a=cZ.propHooks[this.prop];return a&&a.get?a.get(this):cZ.propHooks._default.get(this)},run:function(a){var b,c=cZ.propHooks[this.prop];return this.options.duration?this.pos=b=p.easing[this.easing](a,this.options.duration*a,0,1,this.options.duration):this.pos=b=a,this.now=(this.end-this.start)*b+this.start,this.options.step&&this.options.step.call(this.elem,this.now,this),c&&c.set?c.set(this):cZ.propHooks._default.set(this),this}},cZ.prototype.init.prototype=cZ.prototype,cZ.propHooks={_default:{get:function(a){var b;return a.elem[a.prop]==null||!!a.elem.style&&a.elem.style[a.prop]!=null?(b=p.css(a.elem,a.prop,!1,\"\"),!b||b===\"auto\"?0:b):a.elem[a.prop]},set:function(a){p.fx.step[a.prop]?p.fx.step[a.prop](a):a.elem.style&&(a.elem.style[p.cssProps[a.prop]]!=null||p.cssHooks[a.prop])?p.style(a.elem,a.prop,a.now+a.unit):a.elem[a.prop]=a.now}}},cZ.propHooks.scrollTop=cZ.propHooks.scrollLeft={set:function(a){a.elem.nodeType&&a.elem.parentNode&&(a.elem[a.prop]=a.now)}},p.each([\"toggle\",\"show\",\"hide\"],function(a,b){var c=p.fn[b];p.fn[b]=function(d,e,f){return d==null||typeof d==\"boolean\"||!a&&p.isFunction(d)&&p.isFunction(e)?c.apply(this,arguments):this.animate(c$(b,!0),d,e,f)}}),p.fn.extend({fadeTo:function(a,b,c,d){return this.filter(bZ).css(\"opacity\",0).show().end().animate({opacity:b},a,c,d)},animate:function(a,b,c,d){var e=p.isEmptyObject(a),f=p.speed(b,c,d),g=function(){var b=cW(this,p.extend({},a),f);e&&b.stop(!0)};return e||f.queue===!1?this.each(g):this.queue(f.queue,g)},stop:function(a,c,d){var e=function(a){var b=a.stop;delete a.stop,b(d)};return typeof a!=\"string\"&&(d=c,c=a,a=b),c&&a!==!1&&this.queue(a||\"fx\",[]),this.each(function(){var b=!0,c=a!=null&&a+\"queueHooks\",f=p.timers,g=p._data(this);if(c)g[c]&&g[c].stop&&e(g[c]);else for(c in g)g[c]&&g[c].stop&&cR.test(c)&&e(g[c]);for(c=f.length;c--;)f[c].elem===this&&(a==null||f[c].queue===a)&&(f[c].anim.stop(d),b=!1,f.splice(c,1));(b||!d)&&p.dequeue(this,a)})}}),p.each({slideDown:c$(\"show\"),slideUp:c$(\"hide\"),slideToggle:c$(\"toggle\"),fadeIn:{opacity:\"show\"},fadeOut:{opacity:\"hide\"},fadeToggle:{opacity:\"toggle\"}},function(a,b){p.fn[a]=function(a,c,d){return this.animate(b,a,c,d)}}),p.speed=function(a,b,c){var d=a&&typeof a==\"object\"?p.extend({},a):{complete:c||!c&&b||p.isFunction(a)&&a,duration:a,easing:c&&b||b&&!p.isFunction(b)&&b};d.duration=p.fx.off?0:typeof d.duration==\"number\"?d.duration:d.duration in p.fx.speeds?p.fx.speeds[d.duration]:p.fx.speeds._default;if(d.queue==null||d.queue===!0)d.queue=\"fx\";return d.old=d.complete,d.complete=function(){p.isFunction(d.old)&&d.old.call(this),d.queue&&p.dequeue(this,d.queue)},d},p.easing={linear:function(a){return a},swing:function(a){return.5-Math.cos(a*Math.PI)/2}},p.timers=[],p.fx=cZ.prototype.init,p.fx.tick=function(){var a,b=p.timers,c=0;for(;c<b.length;c++)a=b[c],!a()&&b[c]===a&&b.splice(c--,1);b.length||p.fx.stop()},p.fx.timer=function(a){a()&&p.timers.push(a)&&!cO&&(cO=setInterval(p.fx.tick,p.fx.interval))},p.fx.interval=13,p.fx.stop=function(){clearInterval(cO),cO=null},p.fx.speeds={slow:600,fast:200,_default:400},p.fx.step={},p.expr&&p.expr.filters&&(p.expr.filters.animated=function(a){return p.grep(p.timers,function(b){return a===b.elem}).length});var c_=/^(?:body|html)$/i;p.fn.offset=function(a){if(arguments.length)return a===b?this:this.each(function(b){p.offset.setOffset(this,a,b)});var c,d,e,f,g,h,i,j={top:0,left:0},k=this[0],l=k&&k.ownerDocument;if(!l)return;return(d=l.body)===k?p.offset.bodyOffset(k):(c=l.documentElement,p.contains(c,k)?(typeof k.getBoundingClientRect!=\"undefined\"&&(j=k.getBoundingClientRect()),e=da(l),f=c.clientTop||d.clientTop||0,g=c.clientLeft||d.clientLeft||0,h=e.pageYOffset||c.scrollTop,i=e.pageXOffset||c.scrollLeft,{top:j.top+h-f,left:j.left+i-g}):j)},p.offset={bodyOffset:function(a){var b=a.offsetTop,c=a.offsetLeft;return p.support.doesNotIncludeMarginInBodyOffset&&(b+=parseFloat(p.css(a,\"marginTop\"))||0,c+=parseFloat(p.css(a,\"marginLeft\"))||0),{top:b,left:c}},setOffset:function(a,b,c){var d=p.css(a,\"position\");d===\"static\"&&(a.style.position=\"relative\");var e=p(a),f=e.offset(),g=p.css(a,\"top\"),h=p.css(a,\"left\"),i=(d===\"absolute\"||d===\"fixed\")&&p.inArray(\"auto\",[g,h])>-1,j={},k={},l,m;i?(k=e.position(),l=k.top,m=k.left):(l=parseFloat(g)||0,m=parseFloat(h)||0),p.isFunction(b)&&(b=b.call(a,c,f)),b.top!=null&&(j.top=b.top-f.top+l),b.left!=null&&(j.left=b.left-f.left+m),\"using\"in b?b.using.call(a,j):e.css(j)}},p.fn.extend({position:function(){if(!this[0])return;var a=this[0],b=this.offsetParent(),c=this.offset(),d=c_.test(b[0].nodeName)?{top:0,left:0}:b.offset();return c.top-=parseFloat(p.css(a,\"marginTop\"))||0,c.left-=parseFloat(p.css(a,\"marginLeft\"))||0,d.top+=parseFloat(p.css(b[0],\"borderTopWidth\"))||0,d.left+=parseFloat(p.css(b[0],\"borderLeftWidth\"))||0,{top:c.top-d.top,left:c.left-d.left}},offsetParent:function(){return this.map(function(){var a=this.offsetParent||e.body;while(a&&!c_.test(a.nodeName)&&p.css(a,\"position\")===\"static\")a=a.offsetParent;return a||e.body})}}),p.each({scrollLeft:\"pageXOffset\",scrollTop:\"pageYOffset\"},function(a,c){var d=/Y/.test(c);p.fn[a]=function(e){return p.access(this,function(a,e,f){var g=da(a);if(f===b)return g?c in g?g[c]:g.document.documentElement[e]:a[e];g?g.scrollTo(d?p(g).scrollLeft():f,d?f:p(g).scrollTop()):a[e]=f},a,e,arguments.length,null)}}),p.each({Height:\"height\",Width:\"width\"},function(a,c){p.each({padding:\"inner\"+a,content:c,\"\":\"outer\"+a},function(d,e){p.fn[e]=function(e,f){var g=arguments.length&&(d||typeof e!=\"boolean\"),h=d||(e===!0||f===!0?\"margin\":\"border\");return p.access(this,function(c,d,e){var f;return p.isWindow(c)?c.document.documentElement[\"client\"+a]:c.nodeType===9?(f=c.documentElement,Math.max(c.body[\"scroll\"+a],f[\"scroll\"+a],c.body[\"offset\"+a],f[\"offset\"+a],f[\"client\"+a])):e===b?p.css(c,d,e,h):p.style(c,d,e,h)},c,g?e:b,g,null)}})}),a.jQuery=a.$=p,typeof define==\"function\"&&define.amd&&define.amd.jQuery&&define(\"jquery\",[],function(){return p})})(window);"
|
||
},
|
||
{
|
||
"name": "run-editor-js-validationParticipant.js",
|
||
"content": "define([\r\n\t'vs/base/common/severity'\r\n], function(severity) {\r\n\t'use strict';\r\n\tfunction ValidateParticipant() {\r\n\r\n\t}\r\n\r\n\tValidateParticipant.ID = 'doc.validateParticipant';\r\n\tValidateParticipant.prototype.validate = function(mirrorModel, markerService) {\r\n\r\n\t\tvar marker = {\r\n\t\t\tseverity: severity.Error,\r\n\t\t\tmessage: [\r\n\t\t\t\t{ isText: true, text: '\\u2188 ' },\r\n\t\t\t\t{ tagName: 'span', style: 'color:red', text: 'I AM' },\r\n\t\t\t\t{ isText: true, text: ' A VALIDATION PARTICIPANT \\u2188' }\r\n\t\t\t],\r\n\t\t\tstartLineNumber: 1,\r\n\t\t\tstartColumn: 1,\r\n\t\t\tendLineNumber: 1,\r\n\t\t\tendColumn: 3\r\n\t\t};\r\n\r\n\t\tmarkerService.changeOne(ValidateParticipant.ID, mirrorModel.getAssociatedResource(), [marker]);\r\n\t};\r\n\treturn {\r\n\t\tValidateParticipant: ValidateParticipant\r\n\t};\r\n});"
|
||
},
|
||
{
|
||
"name": "run-editor-korean.txt",
|
||
"content": "/*\r\n\r\n전문\r\n유구한 역사와 전통에 빛나는 우리 대한 국민은 3·1 운동으로 건립된 대한민국 임시 정부의 법통과 불의에 항거한 4·19 민주 이념을 계승하고, 조국의 민주 개혁과 평화적 통일의 사명에 입각하여 정의·인도와 동포애로써 민족의 단결을 공고히 하고, 모든 사회적 폐습과 불의를 타파하며, 자율과 조화를 바탕으로 자유 민주적 기본 질서를 더욱 확고히 하여 정치·경제·사회·문화의 모든 영역에 있어서 각인의 기회를 균등히 하고, 능력을 최고도로 발휘하게 하며, 자유와 권리에 따르는 책임과 의무를 완수하게 하여, 안으로는 국민 생활의 균등한 향상을 기하고 밖으로는 항구적인 세계 평화와 인류 공영에 이바지함으로써 우리들과 우리들의 자손의 안전과 자유와 행복을 영원히 확보할 것을 다짐하면서 1948년 7월 12일에 제정되고 8차에 걸쳐 개정된 헌법을 이제 국회의 의결을 거쳐 국민 투표에 의하여 개정한다.\r\n1987년 10월 29일\r\n前文\r\n悠久한 歷史와 傳統에 빛나는 우리 大韓國民은 3·1 運動으로 建立된 大韓民國臨時政府의 法統과 不義에 抗拒한 4·19 民主理念을 繼承하고, 祖國의 民主改革과 平和的統一의 使命에 立脚하여 正義·人道와 同胞愛로써 民族의 團結을 鞏固히 하고, 모든 社會的弊習과 不義를 打破하며, 自律과 調和를 바탕으로 自由民主的基本秩序를 더욱 確固히 하여 政治·經濟·社會·文化의 모든 領域에 있어서 各人의 機會를 均等히 하고, 能力을 最高度로 發揮하게 하며, 自由와 權利에 따르는 責任과 義務를 完遂하게 하여, 안으로는 國民生活의 均等한 向上을 基하고 밖으로는 恒久的인 世界平和와 人類共榮에 이바지함으로써 우리들과 우리들의 子孫의 安全과 自由와 幸福을 永遠히 確保할 것을 다짐하면서 1948年 7月 12日에 制定되고 8次에 걸쳐 改正된 憲法을 이제 國會의 議決을 거쳐 國民投票에 依하여 改正한다.\r\n1987年 10月 29日\r\n\r\n*/"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-big-css.txt",
|
||
"content": "#wrapper .w12,#wrapper.w12{min-width:972px;width:81em}.pa{margin:0 auto;padding:0 .5em}.pa #area1,.pa #area2,.pa #area3{float:left}.pa #subfoot{clear:both}.pa #area2,.pa #area3{margin-left:1em}.pa #page:after{clear:both;content:\".\";display:block;height:0;visibility:hidden}#wrapper{padding:0 0;text-align:left;margin:0 auto}#wrapper .w1{min-width:70px;width:5.833em}#wrapper .w2{min-width:152px;width:12.667em}#wrapper .w3{min-width:234px;width:19.5em}#wrapper .w3 .w50{min-width:111px;width:9.25em}#wrapper .w4{min-width:316px;width:26.333em}#wrapper .w4 .w33{min-width:97px;width:8.083em}#wrapper .w4 .ce3.w33{min-width:98px;width:8.167em}#wrapper .w5{min-width:398px;width:33.167em}#wrapper .w5 .w33{min-width:124px;width:10.333em}#wrapper .w5 .ce2.w33,#wrapper .w5 .ce3.w33{min-width:125px;width:10.417em}#wrapper .w5 .w50{min-width:193px;width:16.083em}#wrapper .w6{min-width:480px;width:40em}#wrapper .w7{min-width:562px;width:46.833em}#wrapper .w7 .w33{min-width:179px;width:14.917em}#wrapper .w7 .ce3.w33{min-width:180px;width:15em}#wrapper .w7 .w50{min-width:275px;width:22.917em}#wrapper .w8{min-width:644px;width:53.667em}#wrapper .w8 .w33{min-width:206px;width:17.167em}#wrapper .w8 .ce1.w33,#wrapper .w8 .ce3.w33{min-width:206px;width:17.167em}#wrapper .w9{min-width:726px;width:60.5em}#wrapper .w9 .w50{min-width:357px;width:29.75em}#wrapper .w10{min-width:808px;width:67.333em}#wrapper .w10 .w33{min-width:261px;width:21.75em}#wrapper .w10 .ce3.w33{min-width:262px;width:21.833em}#wrapper .w11{min-width:890px;width:74.167em}#wrapper .w11 .w33{min-width:288px;width:24em}#wrapper .w11 .ce2.w33,#wrapper .w11 .ce3.w33{min-width:289px;width:24.083em}#wrapper .w11 .w50{min-width:439px;width:36.583em}#wrapper .w12{min-width:972px;width:81em}#head{min-width:972px;background:transparent}#nav{min-width:972px;background:transparent}#content{background:#fff}#foot{min-width:972px;background:transparent}.wings{background-color:#009ad9;min-width:81em;width:100%}#nav .wings{height:1.667em}#doublerownav .wings{height:3.75em}body{color:#333;font-family:arial,sans-serif;font-size:75%;line-height:1.33em;margin:0;padding:0;text-align:center}a.more,div.br *,.cotb *,.coss *{font-family:arial,sans-serif}@media print{form,object{display:none}}a,a:link,a:visited{color:#333;text-decoration:none}a:hover,a:hover span{color:#000;text-decoration:underline}a img{border:none}input,select,textarea{font-size:15px;line-height:normal}big,div.h2,div.h3,h1,h2,h3,h4,h5,h6,small{font-family:arial,sans-serif;font-size:100%;margin:0;padding:0}.cf:after,ul.cf li:after,.ro:after{clear:both;content:\".\";display:block;height:0;visibility:hidden}.none{display:none}#area1 .ro .co,#area2 .ro .co{margin:.667em}body.ukhp{background:#ededed url(../../i/9e/d11d472df557c51b75b9f0b27752e0.gif) repeat-x center top}#page{background-color:#fff;min-width:972px;background:transparent}#wrapper .msnfoot1{margin:0}#area2 .ro .w4 .co3b2 .br2{min-width:100px;width:8.333em}#wrapper .actfeed1 .ac-head,#wrapper .actfeed1 .ac-status{border-color:#e2f1d4}.headerbar1 .websearch2 .opt,.headerbar1 .websearch2 .opt span,.headerbar1 .websearch2 label{color:#fff}.headerbar1 .websearch2 .scopes span{color:#c1c1c1}#wrapper .searchbar3{padding:5px 0}.ebay1 .simple8 input.image{float:right;margin-top:0}.headerbar3 .websearch2 input.text{width:322px}#head:after,#page:after,#foot:after{clear:both;content:\".\";display:block;height:0;visibility:hidden}#area1 .co,#area2 .co,#wrapper #area1 .cogr,#wrapper #area2 .cogr{margin:.667em}#wrapper.w12,#head .w12,#foot .w12,#nav .w12,#page .w12{width:81.667em;min-width:980px}#subhead .w12{width:81em;min-width:972px}#foot .w12{background-color:#fff}body.cephp{background:#ededed url(../../i/9e/d11d472df557c51b75b9f0b27752e0.gif) repeat-x center top}#wrapper .searchbar2{background-color:#3d8bb6;margin-bottom:0;padding:15px 0}#subhead .flash1{margin:0 1em}#wrapper .w8 .w33.ce1{margin-right:.583em}#wrapper .w8 .w33.ce3{margin-left:.583em}.hminbox1 ul.greet li.first{width:52%}#subfoot .ro{margin-left:.25em}.sitemap .linklist13 li{width:16%;margin:0 .5% 0 0}.menubar1 ul li li.new a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -1213px}.menubar1 ul li li.custom1 a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -1100px}.menubar1 ul li li.beta a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -874px}.menubar1 ul li li.custom2 a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -3692px}.errormsg .richtext strong{font-size:150%}#wrapper .w8 .ce .co2b1 .mwslbl1{margin:0 .666em 0 0;min-width:100px;width:8.333em}.mwslbl1 .richtext p{margin:1em 0 0}#wrapper .w8 .ce .co2b1 .mwstext1{min-width:268px;width:22.333em}.mwstext1 input.text{width:19.583em}#tools .linkedimglinklist1 li{margin-bottom:0}#tools .linkedimglinklist1 img{margin-bottom:.2em}#subhead .breaknews1,#subhead .breaknews1 .richtext a,#subhead .breaknews1 .richtext a:link,#subhead .breaknews1 .richtext a:visited,#subhead .breaknews1 .richtext h4,#subhead .breaknews1 .richtext p,#subhead .breaknews1 .richtext span.custom{background-color:#ba1010;color:#fff;font-weight:normal;text-decoration:none}.actfeed1 div.ac-heading{padding:.583em 0 .75em}.actfeed1 div.ac-signinupsell{margin-top:.417em;padding-bottom:1.5em}.actfeed1 div.ac-spanupsell{margin:1.25em 0}.br .scp1 .npane.n2{text-align:left}.noscope .websearch2{margin-top:2em}ul.linkedimglinklist1 li{margin-bottom:.9em}.cogr div.coss ul{clear:both}.scp1 .headline .first span{display:block;margin-bottom:1.167em}#sw_as .sa_om a{color:#5077bb}#wrapper .co1b1 .llmsg{padding-top:1em}.ro .ce #wea_mini{margin-left:0}#subfoot .ro .co{margin:.667em}.hignav01 #wrapper .wings,.hignav01 #page #nav .menunavbar1 ul.ntier1,.hignav01 #page #nav .menunavbar2{background-color:#666}.highead01 .headerbar3{background:#000 none}.highead02 .headerbar3{background:#006092 none}body.higpage01{background-color:#000}body.higpage02{background-color:#006092}.highead01 .promobar5{background-color:#000}.highead02 .promobar5{background-color:#006092}.higheadtext02 .headerbar3,.higheadtext02 .headerbar3 a,.higheadtext02 .headerbar3 a:link,.higheadtext02 .headerbar3 a:visited,.higheadtext02 .headerbar3 a:hover,.higheadtext02 .headerbar3 a:active,.higheadtext02 .headerbar3 a span,.higheadtext02 .websearch2 label,.higheadtext02 .websearch2 span,.higheadtext02 .websearch2 a:hover span,.higheadtext02 .websearch2 .opt,.higheadtext02 .promobar5 a,.higheadtext02 .promobar5 a:link,.higheadtext02 .promobar5 a:visited,.higheadtext02 .promobar5 a:hover,.higheadtext02 .promobar5 a:active{color:#666}.higheadtext99 .headerbar3,.higheadtext99 .headerbar3 a,.higheadtext99 .headerbar3 a:link,.higheadtext99 .headerbar3 a:visited,.higheadtext99 .headerbar3 a:hover,.higheadtext99 .headerbar3 a:active,.higheadtext99 .headerbar3 a span,.higheadtext99 .websearch2 label,.higheadtext99 .websearch2 span,.higheadtext99 .websearch2 a:hover span,.higheadtext99 .websearch2 .opt,.higheadtext99 .promobar5 a,.higheadtext99 .promobar5 a:link,.higheadtext99 .promobar5 a:visited,.higheadtext99 .promobar5 a:hover,.higheadtext99 .promobar5 a:active{color:#fff}.higheadtext99 .optuser1 ul ul a,.higheadtext99 .optuser1 ul ul a:link,.higheadtext99 .optuser1 ul ul a:visited,.higheadtext99 .optuser1 ul ul a:hover,.higheadtext99 .optuser1 ul ul a:active,.higheadtext99 .pgopt1 ul ul a,.higheadtext99 .pgopt1 ul ul a:link,.higheadtext99 .pgopt1 ul ul a:visited,.higheadtext99 .pgopt1 ul ul a:hover,.higheadtext99 .pgopt1 ul ul a:active{color:#666}#wrapper .button{background:#009ad9}.spopt{position:relative;top:.25em;z-index:1}#wrapper .button,#wrapper .votebtn{color:#fff;border:none;font-weight:normal;height:2.167em;margin-top:-.333em;font-size:100%;padding:.333em .833em .417em;background:#009ad9;cursor:pointer}.simple8 input.button{float:right;margin-top:0}#mtm li span,#mtm ul.cotb li a{padding:.25em .833em .083em}#mtm ul.cotb li.tabsel span.tabsel{border-top-width:3px;margin-top:-3px}#wrapper .simple8 input.button,#wrapper .poll1 .poll .bottom .question input{margin-top:0}.pgopt1 .optlink,.pgopt1 .iabopt{display:inline;float:left;font-size:83.33%;line-height:1em;height:1em;min-height:0;padding-right:.417em}#wrapper div.weamulti1 .attr{padding-top:0}.pgopt1 .iabopt,.higheader_uk div.optuser1 .iabopt,#head2 div.richtext p .iabopt,div.optuser1 li.user .iabopt{border-right:1px solid #999;margin-right:.417em;padding-right:.417em}#head .headerbar3 div.hsb{margin-left:3.417em;padding-left:.333em;padding-right:.25em}html{display:block!important}#wrapper .headerbar1 div.exph1{margin-top:1px;margin-bottom:1px}#wrapper .headerbar1 div.exph{margin-top:2.1em;margin-bottom:1.4em}#wrapper .exph1 .websearch2 .scopes a.selected{color:#fff}#wrapper .exph1 .websearch2 span.bi{border:none}#wrapper .exph1 .websearch2 input.image,#wrapper .exphf1 .websearch2 input.image{padding:5px 3px 6px 0}#wrapper .exphf1 .websearch2 span.bi{border:none}.hb{background:#006092;border:1px solid #5ca8d2;border-bottom:none;padding-bottom:1.667em}.hb .br1{margin:4px 0 0 4px}#head .hb .br2{float:right;margin:4px 4px 0 0}.hb .br3{margin:11px 0 0 1em}#head .hb .br4{margin:0 0 0 3.333em;min-width:515px;width:42.917em}.hb .websearch2 .text{width:351px}#head .hb .br5{float:right;font-size:92%;margin:1.917em 0 0}.hb .br5 .linkedimglinklist8 li{margin:0 10px}.hb .linkedimglinklist8 img{margin:0}.hb .pgopt1 .pipe{border-left:1px solid #fff}.hb .linklist6 li a{color:#fff}.hb .pgopt1 li a,.hb .pgopt1 li span{border-bottom:medium none}.hb,.hb a,.hb a:link,.hb a:visited,.hb a:hover span,.hb a:active,.hb a span{color:#fff}.hb .pgopt1 .opt ul a{color:#666}#page .menunavbar1 .ntier1{background-color:#009ad9}#nav .menunavbar1 .ntier1 .ntier2{margin-right:1.5em;padding-bottom:.5em;padding-right:0;min-width:980px;width:81.7em}#wrapper #nav .w12{min-width:978px;width:81.5em}#page #nav .menunavbar1 .ntier1{min-width:980px;width:81.667em}.scdiv>div{position:relative}.sctiklist1 .scrlb2 div .fade li{background-color:#ccc}.forecast2{float:right}.forecast2 .h3,.forecast2 .weaheading{border-right:1px solid #333;float:left}.forecast2 .h3,.forecast2 .location{padding-right:5px}.forecast2 .location,.forecast2 .forecast{float:left}.forecast2 .extended,.forecast2 .today,.forecast2 .weaheading,.forecast2 .weatherimage{display:inline;float:left}.forecast2 .weatherimage{margin-right:.08em}.forecast2 .today{margin-right:.17em}.forecast2 .weaheading ul{margin:0;padding:0}.forecast2 .weaheading li{display:inline;list-style-type:none;margin-left:.25em}.forecast2 .degreetype .selected{font-weight:bold}#scribble{border:1px solid #a30303}div.cogrsx ul.cotb li.tabsel span.tabsel,div.cogrsx ul.cotb li.tabsel a,div.cogrsx ul.cotb li.tabsel a:link,div.cogrsx ul.cotb li.tabsel a:visited,div.cogrsx ul.cotb li.last span.tabsel,div.cogrsx ul.cotb li.last a,div.cogrsx ul.cotb li.last a:link,div.cogrsx ul.cotb li.last a:visited{padding:.4em .66em}div.cogrsx ul.cotb li a.coc1,div.cogrsx ul.cotb span.tabsel{font-size:1.16em}div.bnws1{text-align:left}.newhig #content,.newhig #nav{border-left:0;border-right:0}#area1{margin-left:0}body.newhig{background:none}.newhig #wrapper.pa{padding-bottom:0;padding-top:0}.newhig #wrapper #content{margin-top:-2.5em;padding-top:2.5em}.newhig .linkimglist2 a{font-size:150%}.newhig .hrscop1 div div{padding-top:10px;border-top:#e1e1e1 1px solid}.newhig .w8 .single1 .linkimglist2 li{width:300px;margin-right:0}.newhig .w8 .single1 .linkimglist2 li.last{margin-left:28px}.newhig .coa5 .h2{font-size:150%}.newhig .w8 .single1 .linkedimglinklist14 li{margin:0;margin-right:2.41em;min-width:190px;padding:0;width:15.83em}.newhig .w8 .single1 .linkedimglinklist14 li.last{margin-right:0}.newhig #wrapper .searchbar3 .br{width:555px}.optuser1>a{float:left;margin-right:.2em}.newhig #nav .menunavbar1{margin-top:0}#leadad,#billad{line-height:0;font-size:1px}.higheader_uk ul.linkedimglinklist8{margin-top:22px}.higheader_uk ul.linkedimglinklist8 li.last{padding-right:0;padding-left:2em}.higheader_uk .websearch2 .search input.text{width:317px}.higheader_uk div.br6{min-width:18.58em!important;width:258px!important}.higheader_uk div.websearch2{width:504px}.compare1 .complex1 select{margin-right:1em;margin-top:.5em}.compare1 .complex1 .last div{float:right;margin-top:-.25em}.compare1 .complex1 .last{margin-right:0;margin-left:0;padding:0 0 9px}.ro .cogr ul.cotb,.ro .coa2 .h2{font-weight:normal}div.pgopt1 li li a,div.pgopt1 ul.js li li a{white-space:nowrap}.optuser1 ul,.optuser1 a{float:left}.coa2.coc1 .h2,.coa5.coc1 .h2,.coa7.coc1 .h2,.cogr ul.cotb.coc1 li.tabsel span.tabsel,.cogr ul.cotb.coc1 li.tabsel a,.cogr ul.cotb.coc1{border-color:#009ad9}.coa2.coc1 div.hr,.coa3.coc1 div.hr,.coa7.coc1 div.hr,.coa3.coc1 .h2,.coa3.coc1 .h3{border-color:#ccc}.coa2.coc2 .h2,.coa5.coc2 .h2,.coa7.coc2 .h2,.cogr ul.cotb.coc2 li.tabsel span.tabsel,.cogr ul.cotb.coc2 li.tabsel a,.cogr ul.cotb.coc2{border-color:#89c655}.coa2.coc2 div.hr,.coa3.coc2 div.hr,.coa7.coc2 div.hr,.coa3.coc2 .h2,.coa3.coc2 .h3{border-color:#ccc}.coa2.coc3 .h2,.coa5.coc3 .h2,.coa7.coc3 .h2,.cogr ul.cotb.coc3 li.tabsel span.tabsel,.cogr ul.cotb.coc3 li.tabsel a,.cogr ul.cotb.coc3{border-color:#bdbdbd}.coa2.coc3 div.hr,.coa3.coc3 div.hr,.coa7.coc3 div.hr,.coa3.coc3 .h2,.coa3.coc3 .h3{border-color:#e3e3e3}.coa2.coc4 .h2,.coa5.coc4 .h2,.coa7.coc4 .h2,.cogr ul.cotb.coc4 li.tabsel span.tabsel,.cogr ul.cotb.coc4 li.tabsel a,.cogr ul.cotb.coc4{border-color:#63c}.coa2.coc4 div.hr,.coa3.coc4 div.hr,.coa7.coc4 div.hr,.coa3.coc4 .h2,.coa3.coc4 .h3{border-color:#63c}.coa2.coc5 .h2,.coa5.coc5 .h2,.coa7.coc5 .h2,.cogr ul.cotb.coc5 li.tabsel span.tabsel,.cogr ul.cotb.coc5 li.tabsel a,.cogr ul.cotb.coc5{border-color:#0bce7e}.coa2.coc5 div.hr,.coa3.coc5 div.hr,.coa7.coc5 div.hr,.coa3.coc5 .h2,.coa3.coc5 .h3{border-color:#0bce7e}.coa2.coc6 .h2,.coa5.coc6 .h2,.coa7.coc6 .h2,.cogr ul.cotb.coc6 li.tabsel span.tabsel,.cogr ul.cotb.coc6 li.tabsel a,.cogr ul.cotb.coc6{border-color:#ab23bb}.coa2.coc6 div.hr,.coa3.coc6 div.hr,.coa7.coc6 div.hr,.coa3.coc6 .h2,.coa3.coc6 .h3{border-color:#ab23bb}.coa2.coc7 .h2,.coa5.coc7 .h2,.coa7.coc7 .h2,.cogr ul.cotb.coc7 li.tabsel span.tabsel,.cogr ul.cotb.coc7 li.tabsel a,.cogr ul.cotb.coc7{border-color:#f89225}.coa2.coc7 div.hr,.coa3.coc7 div.hr,.coa7.coc7 div.hr,.coa3.coc7 .h2,.coa3.coc7 .h3{border-color:#f89225}.coa2.coc8 .h2,.coa5.coc8 .h2,.coa7.coc8 .h2,.cogr ul.cotb.coc8 li.tabsel span.tabsel,.cogr ul.cotb.coc8 li.tabsel a,.cogr ul.cotb.coc8{border-color:black}.coa2.coc8 div.hr,.coa3.coc8 div.hr,.coa7.coc8 div.hr,.coa3.coc8 .h2,.coa3.coc8 .h3{border-color:black}.m1{margin:.667em 0 0 0}.m2{margin:0 .5em 0 0}.m3{margin:0 0 .667em 0}.m4{margin:0 0 0 .5em}.m5{margin:.667em 0 .667em 0}.m6{margin-bottom:0;margin-top:0}.m7{margin:0 .5em 0 .5em}.m8{margin-left:0;margin-right:0}.m9{margin:.667em 0 0 .5em}.m10{margin:.667em .5em 0 0}.m11{margin:0 0 .667em .5em}.m12{margin:0 .5em .667em 0}.m13{margin:0 .5em .667em .5em}.m14{margin:.667em 0 .667em .5em}.m15{margin:.667em .5em 0 .5em}.m16{margin:.667em .5em .667em 0}.m17{margin:.667em .5em .667em .5em}.coa2.ruled{border-bottom:solid 1px #e1e1e1}.coa2 .h3{color:#333;display:block;font-weight:bold;line-height:1.43em;margin:0 0 .833em 0}.coa2 .h2 a,.coa2 .h2 a:link,.coa2 .h2 a:visited{color:#333}.coa2 .h2 a:hover,.coa2 .h2 a:active{color:#000}.coa2 .h3 a,.coa2 .h3 a:link,.coa2 .h3 a:visited{color:#333}.coa2 .h3 a:hover,.coa2 .h3 a:active{color:#000}.coa2 .h2 span.icon{display:inline-block;padding-bottom:.25em}#wrapper .cogr ul.cotb li span.icon{padding-bottom:.167em}.coa2 .h2 span.icon,.cogr ul.cotb li span.icon,.cogr ul.cotb li.tabsel span.icon{padding-left:.333em}.coa2 .h2 a span,.coa2 .h2 span span{padding:0}#wrapper .coa2 .attr{border:0;float:right;display:block}#wrapper .coa2 .attr,#wrapper .coa2 a.attr:link,#wrapper .coa2 a.attr:visited,#wrapper .coa2 a.more,#wrapper .coa2 a.more:link,#wrapper .coa2 a.more:visited{color:#666;font-size:92%;font-weight:normal}#wrapper .coa2 a.attr:hover,#wrapper .coa2 a.attr:active,#wrapper .coa2 a.more:hover,#wrapper .coa2 a.more:active{color:#333}.coa2 div.hr{border-top:solid 1px;margin:.833em 0}.cogr ul.cotb,.coa2 .h2{font-weight:bold;line-height:normal;list-style-type:none;margin:0 0 .833em 0;padding:0;width:100%}.coa2 .h2{border-bottom:solid 3px;height:1.583em;color:#333;display:block;font-weight:bold;line-height:normal}.cogr ul.cotb{border-bottom:solid 1px;background-color:#f1f1f1}.cogr ul.cotb li{float:left;height:auto;list-style-type:none;padding:0;margin:0}.cogr ul.cotb li a,.cogr ul.cotb li span{display:inline-block;font-size:100%;height:1.25em;line-height:normal;float:left}.cogr ul.cotb li a,.cogr ul.cotb li span.tabsel{float:left}.cogr ul.cotb li span span,.cogr ul.cotb li.tabsel span span,.cogr ul.cotb li a span{border:0;bottom:0;padding:0;margin:0;top:0;height:auto}.cogr ul.cotb li span span span,.cogr ul.cotb li.tabsel span span span,.cogr ul.cotb li a span span{padding-left:.333em}.cogr ul.cotb li a{background-color:#f1f1f1;border-width:0 1px;border-color:#fff;border-style:solid;padding:.417em 1.333em .167em 1.333em;text-decoration:none}.cogr ul.cotb li a,.cogr ul.cotb li a:link,.cogr ul.cotb li a:visited{color:#666}.cogr .js ul.cotb li a:hover{color:#333;text-decoration:none}.cogr .js ul.cotb li.tabsel a:hover,.cogr .js ul.cotb li.tabsel a:hover span{color:#333;text-decoration:underline}.cogr ul.cotb li a:hover,.cogr ul.cotb li a:active{color:#333;text-decoration:none}.cogr .js ul.cotb li a.hover{color:#333;text-decoration:none}.cogr ul.cotb li.first a{border-left:0}.cogr ul.cotb li.last a{border-right:0}.cogr ul.cotb li.tabsel span.tabsel,.cogr ul.cotb li.tabsel a,.cogr ul.cotb li.tabsel a:link,.cogr ul.cotb li.tabsel a:visited{background-color:#fff;border-style:solid;border-width:4px 1px 0 1px;color:#333;cursor:default;height:1.417em;margin-bottom:-1px;margin-left:-1px;margin-top:-4px;outline:none;padding:.417em 1.333em .25em 1.333em;position:relative}.coa2 .h2 a span,.coa2 .h3 a span,.cogr ul.cotb li span,.cogr ul.cotb li.tabsel a,.cogr ul.cotb li.tabsel a:link,.cogr ul.cotb li.tabsel a:visited,.cogr ul.cotb li.tabsel a.navlink span{cursor:pointer}.cogr ul.cotb li.tabsel span{cursor:default}.cogr ul.cotb li a.hover,.cogr ul.cotb li a:hover,.cogr ul.cotb li a.hover span,.cogr ul.cotb li a:hover span,.cogr ul.cotb li a:active,.cogr ul.cotb li a:active span{text-decoration:none}.coa2 .h2 img,.cogr ul.cotb li img{float:left}.coa2 .h2 a,.coa2 .h2 span{display:block;float:left}.coa3.ruled{border-bottom:solid 1px #e1e1e1}.coa3 .h2,.coa3 .h3{border-style:solid;line-height:normal}.coa3 .h2{border-width:0 0 2px 0;font-weight:bold;font-size:117%}.coa3 .h3{border-width:0 0 1px 0;font-weight:normal}.coa3 .h2 a,.coa3 .h3 a,.coa3 .h2 span,.coa3 .h3 span{display:block;float:left}.coa3 .h2 span span,.coa3 .h2 a span,.coa3 .h3 span span,.coa3 .h3 a span{padding:0}.coa3 .h2 a,.coa3 .h2 span{padding:.47em 0}.coa3 .h3 a,.coa3 .h3 span{padding:.8em 0}#wrapper .coa3 .attr,#wrapper .coa3 a.attr:link,#wrapper .coa3 a.attr:visited{color:#666;font-size:86%;font-weight:normal;float:right}#wrapper .coa3 a.attr:hover,#wrapper .coa3 a.attr:active{color:#333}.coa3 a.more{display:block;float:none;padding:.58em 0 0 0}.coa3 div.hr{border-top:solid 1px;margin:.833em 0}.coa3 .h2 a span,.coa3 .h3 a span{cursor:pointer}.coa3 .h2 a,.coa3 .h2 a:link,.coa3 .h2 a:visited,.coa3 .h2 span{color:#333}.coa3 .h3 a,.coa3 .h3 a:link,.coa3 .h3 a:visited,.coa3 .h3 span{color:#333}.coa3 .more,.coa3 a.more,.coa3 a.more:link,.coa3 a.more:visited{color:#333}.coa3 .h2 a:hover,.coa3 .h2 a:active{color:#000}.coa3 .h3 a:hover,.coa3 .h3 a:active{color:#000}.coa3 a.more:hover,.coa3 a.more:active{color:#000}.coa4.ruled{border-bottom:solid 1px #e1e1e1}.coa4 .h2,.coa4 .h3{line-height:normal}.coa4 .h2 a,.coa4 .h3 a,.coa4 .h2 span,.coa4 .h3 span{display:block;float:left;padding:.5em .86em .286em .86em}.coa4 .h2 a,.coa4 .h2 span{font-weight:normal}.coa4 .h3 a,.coa4 .h3 span{padding:0;font-weight:normal}#wrapper .coa4 .attr{font-size:92%;font-weight:normal;float:right}.coa4 a.more{display:block;float:none}.coa4 div.hr{border-top:solid 1px;margin:.833em 0}.coa4 .h2 a span,.coa4 .h3 a span{cursor:pointer}.coa5.ruled{border-bottom:solid 1px #e1e1e1}.coa5 .h2{line-height:normal;display:block;font-size:150%;height:1.2em;border-bottom:solid 1px;margin-bottom:.66em;font-weight:normal;list-style-type:none;padding:0;width:100%}.coa5 .h2 a,.coa5 .h2 a:link,.coa5 .h2 a:visited,.coa5 .h2 span{color:#555}.coa5 .h2 a span,.coa5 .h2 span span{padding:0}.coa5 .h2 a,.coa5 .h2 span{display:block;float:left}.h2 span img{float:left}.h2 span span{margin-left:3px}.coa6.ruled{border-top:solid 1px #ebebeb;border-right:solid 1px #ebebeb;border-left:solid 1px #ebebeb;border-bottom:solid 2px #ebebeb}.coa6 .h2{background:url(http://www.dbscripts.net/tutorials/css-gradient/gradient.png) repeat-x;background-color:#ebebeb;height:30px;padding-right:10px;padding-top:10px;font-size:15px}.coa7.ruled{border-bottom:solid 1px #e1e1e1}.coa7 .h3{color:#333;display:block;font-weight:bold;line-height:1.43em;margin:0 0 .833em 0}.coa7 .h2 a,.coa7 .h2 a:link,.coa7 .h2 a:visited{color:#000}.coa7 .h2 a:hover,.coa7 .h2 a:active{color:#000}.coa7 .h3 a,.coa7 .h3 a:link,.coa7 .h3 a:visited{color:#333}.coa7 .h3 a:hover,.coa7 .h3 a:active{color:#000}.coa7 .h2 span.icon{display:inline-block;padding-bottom:.167em}#wrapper div.cogrhig ul.cotb li span.icon{padding-bottom:.167em}.coa7 .h2 span.icon,div.cogrhig ul.cotb li span.icon,div.cogrhig ul.cotb li.tabsel span.icon{padding-left:.222em}.coa7 .h2 a span,.coa7 .h2 span span{padding:0}#wrapper .coa7 .attr{border:0;float:right;display:block;padding-top:.9em}#wrapper .coa7 .attr,#wrapper .coa7 a.attr:link,#wrapper .coa7 a.attr:visited,#wrapper .coa7 a.more,#wrapper .coa7 a.more:link,#wrapper .coa7 a.more:visited{color:#333;font-size:61.1%;font-weight:normal}#wrapper .coa7 a.attr:hover,#wrapper .coa7 a.attr:active,#wrapper .coa7 a.more:hover,#wrapper .coa7 a.more:active{color:#333}.coa7 div.hr{border-top:solid 1px;margin:.833em 0}div.cogrhig ul.cotb,.coa7 .h2{font-weight:normal;line-height:normal;list-style-type:none;padding:0;width:100%}.coa7 .h2{border-bottom:solid 1px;height:1.5em;margin:0 0 .556em 0;color:#000;display:block;font-weight:normal;line-height:normal;font-size:150%}div.cogrhig ul.cotb{border-bottom:solid 1px;background-color:#f1f1f1;margin:0 0 .833em 0}div.cogrhig ul.cotb li{float:left;height:auto;list-style-type:none;padding:0;margin:0}div.cogrhig ul.cotb li a,div.cogrhig ul.cotb li span{display:inline-block;font-size:117%;height:1.143em;line-height:normal}div.cogrhig ul.cotb li a,div.cogrhig ul.cotb li span.tabsel{float:left}div.cogrhig ul.cotb li span span,div.cogrhig ul.cotb li.tabsel span span,div.cogrhig ul.cotb li a span{border:0;bottom:0;padding:0;margin:0;top:0;height:auto}div.cogrhig ul.cotb li span span span,div.cogrhig ul.cotb li.tabsel span span span,div.cogrhig ul.cotb li a span span{padding-left:.222em}div.cogrhig ul.cotb li a{background-color:#f1f1f1;border-width:0 1px;border-color:#fff;border-style:solid;padding:.286em .714em;text-decoration:none}div.cogrhig ul.cotb li a,div.cogrhig ul.cotb li a:link,div.cogrhig ul.cotb li a:visited{color:#666}div.cogrhig .js ul.cotb li a:hover{color:#333;text-decoration:none}div.cogrhig ul.cotb li.tabsel{border-color:#fff;border-style:solid;border-width:0 1px 0 2px}div.cogrhig ul.cotb li.first{border-left-width:0}div.cogrhig ul.cotb li.last{border-right-width:2px}div.cogrhig .js ul.cotb li.tabsel a:hover,div.cogrhig .js ul.cotb li.tabsel a:hover span{color:#333;text-decoration:underline}div.cogrhig ul.cotb li a:hover,div.cogrhig ul.cotb li a:active{color:#333;text-decoration:none}div.cogrhig .js ul.cotb li a.hover{color:#333;text-decoration:none}div.cogrhig ul.cotb li.first a{border-left:0}div.cogrhig ul.cotb li.last a{border-right:0}div.cogrhig ul.cotb li.tabsel span.tabsel,div.cogrhig ul.cotb li.tabsel a,div.cogrhig ul.cotb li.tabsel a:link,div.cogrhig ul.cotb li.tabsel a:visited{background-color:#fff;border-style:solid;border-width:3px 1px 0 1px;color:#000;cursor:default;height:1.214em;margin-bottom:-1px;margin-left:-1px;margin-top:-3px;padding:.286em .643em;position:relative}.coa7 .h2 a span,.coa7 .h3 a span,div.cogrhig ul.cotb li span,div.cogrhig ul.cotb li.tabsel a,div.cogrhig ul.cotb li.tabsel a:link,div.cogrhig ul.cotb li.tabsel a:visited,div.cogrhig ul.cotb li.tabsel a.navlink span{cursor:pointer}div.cogrhig ul.cotb li.tabsel span{cursor:default}div.cogrhig ul.cotb li a.hover,div.cogrhig ul.cotb li a:hover,div.cogrhig ul.cotb li a.hover span,div.cogrhig ul.cotb li a:hover span,div.cogrhig ul.cotb li a:active,div.cogrhig ul.cotb li a:active span{text-decoration:none}.coa7 .h2 img,div.cogrhig ul.cotb li img{float:left}.coa7 .h2 a,.coa7 .h2 span{display:block;float:left;padding-top:.333em}.ro{clear:left}.ro .ce{float:left;margin-left:.5em;margin-right:.5em;min-width:70px;width:5.833em}#wrapper .ro .ce1{margin-left:0}#wrapper .ro .cel{margin-right:0}.ro.m1,.ro .ce.m1{margin-top:1em}.ro.m3,.ro .ce.m3{margin-bottom:1em}.ro.m5,.ro .ce.m5{margin-bottom:1em;margin-top:1em}#wrapper .cogr .co{margin:0}#wrapper .cogr{margin:1em .667em}#wrapper .llmsg{text-align:center;padding-top:5em}.cogr .coss{clear:both}.cogr .coss ul{list-style-type:none;margin:0;padding:1px 0 0 0}.cogr .coss ul li{float:left;list-style-type:none}.cogr .coss ul li a{border:solid 1px #fff;display:block;height:19px;padding:0;text-decoration:none;width:18px}.cogr .coss ul li a.prev{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2510px}.cogr .coss ul li a.prev:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2629px}.cogr .coss ul li a.next{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2748px}.cogr .coss ul li a.next:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2867px}.cogr .coss ul li a.pause{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2986px;border-width:1px 0 1px 0;width:17px}.cogr .coss ul li a.pause:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -3105px}.cogr .coss ul li a.play{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -3224px;border-width:1px 0 1px 0;width:17px}.cogr .coss ul li a.play:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -3343px}.cogr .coss ul li a span{display:none;left:-10000px;position:relative}.cogr .coss ul li.last{color:#666;line-height:normal;padding:2px 0 4px 0;padding-left:12px}.ssa .as{background-color:#fff;left:54.5em;position:absolute;top:0;width:100%;z-index:1}.ssa .cof{left:0;z-index:2}.ssa{position:relative;overflow:hidden}.ssa .asn{left:0;position:absolute;z-index:3}.ssa .act{z-index:2}.ssa .nact{z-index:1}.navbar1{background:#cfe6f7 url(../../i/99/56f94b959a4bf2d03911cfcc9d3d8c.gif) repeat-x bottom center}.navbar1 a{display:block;font-weight:bold;padding:6px 1em 14px 1em}.navbar3{background:#cfe6f7 url(../../i/99/56f94b959a4bf2d03911cfcc9d3d8c.gif) repeat-x bottom center}.navbar3 a{display:block;font-weight:normal;padding:.5em 1em 1.167em}.navbar3 .menubar3 li ul{background-color:#fff}.menubar1 ul,.menubar1 li{display:block;float:left;list-style:none;position:relative}.menubar1 .first{padding-left:.583em}.menubar1 ul{background:#f4f4f4 url(../../i/a8/27fb8575297bbf41285acd439e7cec.gif) repeat-x 0 100%;border-top:1px solid #dcdedf;margin:0;padding:0;width:100%;z-index:50}.menubar1 li:hover,.menubar1 li#hover{background:#ddd url(../../i/98/41fbe93d247d0949367dd5f24af034.gif) repeat-x 0 100%}.menubar1 ul li.last:hover ul,.menubar1 ul.js .last#hover ul{left:auto;right:0}.menubar1 ul li:hover ul,.menubar1 ul.js #hover ul{left:0}.menubar1 li a{outline:none}.menubar1 a:link,.menubar1 a:visited,.menubar1 a:active,.menubar1 a:hover,.menubar1 span{border-left:1px solid #fff;border-right:1px solid #e0e0e0;display:block;font-size:108%;margin:.231em 0 8px 0;padding:.385em .615em .231em .769em}.menubar1 .first a:link,.menubar1 .first a:visited,.menubar1 .first a:active,.menubar1 .first a:hover,.menubar1 .first span{border-left:none}.menubar1 .last a:link,.menubar1 .last a:visited,.menubar1 .last a:active,.menubar1 .last a:hover,.menubar1 .last span{border-right:none}.menubar1 a:active,.menubar1 a:hover,.menubar1 a:hover span,.menubar1 span{text-decoration:none}.menubar1 span{cursor:default}.menubar1 li ul,.menubar1 ul.js li:hover ul,.menubar1 ul.js li.last:hover ul{left:-999em;position:absolute;z-index:50}.menubar1 li ul{background:#fff url(../../i/a8/27fb8575297bbf41285acd439e7cec.gif) repeat-x 0 -17px;border-color:#bfc0c0;border-style:solid;border-width:0 1px 1px 1px;margin-top:-4px;padding:9px 0 0 0}.menubar1 li li,.menubar1 li li.first{background-color:#fff;background-image:none;border:0;padding:0;margin:0;position:static;text-align:left}.menubar1 li:hover ul,.menubar1 .js #hover ul{left:0}.menubar1 li li:hover,.menubar1 .js li #hover,.menubar1 .js li li.focus{background-color:#ececec;background-image:none}.menubar1 li li a:link,.menubar1 li li a:visited,.menubar1 li li a:active,.menubar1 li li a:hover{background-image:none;border:0;font-weight:normal;margin:0;padding:.462em .615em .462em .385em}.menubar1 li li a:link,.menubar1 li li a:visited{color:#4f4f4f}.menubar1 li li span{font-weight:normal;border:0;margin:0;padding:0}.menubar1 li a strong,.menubar1 li span strong{color:#4f4f4f}.menubar1 ul ul span.custom{color:#333}.menubar1 a span{padding:0;background-image:none;border:0;cursor:pointer;display:inline;font-size:100%;margin:0}.menubar1 li li,.menubar1 li ul{min-width:146px;width:12.166em}.menubar1 li ul.mod2{min-width:292px;width:24.333em}.menubar1 li ul.mod3{min-width:438px;width:36.5em}.menubar1 li ul.mod4{min-width:584px;width:48.666em}.menubar1 li ul.mod5{min-width:730px;width:60.833em}.menubar1 li li.new a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 100% -1213px;padding-right:28px}.menubar1 li li.beta a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 100% -986px;padding-right:31px}.menubar3 ul,.menubar3 li#hover{display:block;list-style:none;position:relative}.menubar3 ul{margin:0;padding:0;z-index:50;min-width:11.333em;width:11.333em;border-right:solid 1px #e1e1e1;border-top:solid 1px #e1e1e1}.menubar3 ul ul{width:auto;border-left:solid 1px #e1e1e1}.menubar3 li{background:#fff url(../../i/58/3baaf4907c774a3956ff63f348320c.gif) repeat-x;text-align:left;border-bottom:solid 1px #e1e1e1}.menubar3 li li{white-space:nowrap}.menubar3 li a{outline:none}.menubar3 li li a{width:auto}.menubar3 li a:link,.menubar3 li a:visited,.menubar3 li a:active,.menubar3 li a:hover,.menubar3 li span{display:block;line-height:normal;padding:.417em 1em .333em .667em}.menubar3 li ul li a:link,.menubar3 li ul li a:visited,.menubar3 li ul li a:active,.menubar3 li ul li a:hover,.menubar3 li ul li span{padding:.417em 1em .417em .667em}.menubar3 li ul li.last a{height:20px}.menubar3 a:active,.menubar3 a:hover,.menubar3 a:hover span,.menubar3 span{text-decoration:none}.menubar3 span{cursor:default}.menubar3 a span{border:0;cursor:pointer;font-size:100%;padding:0}.menubar3 li ul,.menubar3 ul.js li:hover ul,.menubar3 ul.js li.last:hover ul{background-color:#fff;left:-999em;position:absolute;z-index:50}.menubar3 li ul{border:solid 1px #999;top:-1px;margin-left:9.333em;min-width:140px;width:auto}.menubar3 li li,.menubar3 .snap li li{margin:0;position:static;text-align:left}.menubar3 ul li:hover ul,.menubar3 ul.js #hover ul{left:0}.menubar3 li:hover,.menubar3 li li:hover,.menubar3 ul.js li li.focus,.menubar3 ul.js li#hover{background-color:#cdeefc;background-image:none;color:#000}.menubar3 li:hover>a:link,.menubar3 li:hover>a:visited,.menubar3 li:hover>a:active,.menubar3 li:hover>a:hover,.menubar3 li li.focus>a:link,.menubar3 li li.focus>a:visited,.menubar3 li li.focus>a:active,.menubar3 li li.focus>a:hover,.menubar3 ul.js li#hover>a:link,.menubar3 ul.js li#hover>a:visited,.menubar3 ul.js li#hover>a:active,.menubar3 ul.js li#hover>a:hover{color:#000}.menubar3 .coc1{border-left:solid 4px #f89225}.menubar3 .coc2{border-left:solid 4px #f27737}.menubar3 .coc3{border-left:solid 4px #ed5c49}.menubar3 .coc4{border-left:solid 4px #e7415b}.menubar3 .coc5{border-left:solid 4px #e2266d}.menubar3 .coc6{border-left:solid 4px #d42580}.menubar3 .coc7{border-left:solid 4px #c62494}.menubar3 .coc8{border-left:solid 4px #b823a7}.menubar3 .coc9{border-left:solid 4px #ab23bb}.menubar3 .coc10{border-left:solid 4px #9b2ac1}.menubar3 .coc11{border-left:solid 4px #8c31c7}.menubar3 .coc12{border-left:solid 4px #7c38cd}.menubar3 .coc13{border-left:solid 4px #6d3fd3}.menubar3 .coc14{border-left:solid 4px #5155d4}.menubar3 .coc15{border-left:solid 4px #366cd6}.menubar3 .coc16{border-left:solid 4px #1b83d7}.menubar3 .coc17{border-left:solid 4px #009ad9}.menubar3 .coc18{border-left:solid 4px #03a5d3}.menubar3 .coc19{border-left:solid 4px #07b1cd}.menubar3 .coc20{border-left:solid 4px #0bbdc7}.menubar3 .coc21{border-left:solid 4px #0fc9c2}.menubar3 .coc22{border-left:solid 4px #0ecab1}.menubar3 .coc23{border-left:solid 4px #0dcba0}.menubar3 .coc24{border-left:solid 4px #0ccc8f}.menubar3 .coc25{border-left:solid 4px #0bce7e}.menubar3 .coc26{border-left:solid 4px #32cc60}.menubar3 .coc27{border-left:solid 4px #59cb43}.menubar3 .coc28{border-left:solid 4px #80ca26}.menubar3 .coc29{border-left:solid 4px #a7c909}.menubar3 .coc30{border-left:solid 4px #bbbb10}.menubar3 .coc31{border-left:solid 4px #cfad17}.menubar3 .coc32{border-left:solid 4px #e39f1e}.menubar3 li.category>a,.menubar3 li.category>span{background:transparent url(../../i/14/a09a57d6a889767f4381ba9e810d54.gif) no-repeat 10.166em .833em}.menubar3 li.category:hover>a,.menubar3 li.category:hover>span{background:transparent url(../../i/58/63e153aa0eb18b63d35e0304e8a459.gif) no-repeat 10.166em .833em}.menubar3 ul.js li.category a,.menubar3 ul.js li.category span.more{background:transparent url(../../i/14/a09a57d6a889767f4381ba9e810d54.gif) no-repeat 10.166em .833em}.menubar3 ul.js li#hover.category a,.menubar3 ul.js li#hover.category span.more{background:transparent url(../../i/58/63e153aa0eb18b63d35e0304e8a459.gif) no-repeat 10.166em .833em}.menubar3 ul.js li.category li a,.menubar3 ul.js li.category li span.more,.menubar3 ul.js li#hover.category li a,.menubar3 ul.js li#hover.category li span.more{background-image:none}.menubar3 li a span{display:inline}.menubar3 li a span.icon{font-size:75%;margin-left:.417em;padding-top:.083em;text-decoration:none}.menubar3 li a span.new{background:transparent url(../../i/1e/4a10c46cd690e46fcb5a4f3162c157.gif) no-repeat right center;padding-right:12px}.menubar3 li a span.up{background:transparent url(../../i/d5/cc124aab36ab823f20f8cfd9ecb31e.gif) no-repeat right center;padding-right:7px}.menubar3 li a span.beta{background:transparent url(../../i/1e/4a10c46cd690e46fcb5a4f3162c157.gif) no-repeat right center;padding-right:12px}.menubar3 li a span.custom1{background:transparent url(../../i/1e/4a10c46cd690e46fcb5a4f3162c157.gif) no-repeat right center;padding-right:12px}.menubar3 li a span.custom2{background:transparent url(../../i/1e/4a10c46cd690e46fcb5a4f3162c157.gif) no-repeat right center;padding-right:12px}.menubar3 li a span.custom3{background:transparent url(../../i/1e/4a10c46cd690e46fcb5a4f3162c157.gif) no-repeat right center;padding-right:12px}.menubar3 ul li ul li.caret{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 1px -7137px;margin-left:-.667em;padding-left:.667em}.menubar3 ul.js li .focus.caret{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 1px -7261px}#wrapper .menubar3 ul.js li .focus.caret a{background-color:#cdeefc;min-width:110px}.menubar3 li ul li{background-image:none;border:none;padding:0;width:100%}.menubar3 li ul.twocol li{float:left;overflow:hidden;width:50%}.navbarmr1 a{font-weight:bold}.navbarmr1 .menubar1 a:link,.navbarmr1 .menubar1 a:visited,.navbarmr1 .menubar1 a:active,.navbarmr1 .menubar1 a:hover,.navbarmr1 .menubar1 span{margin:.154em 0 .54em;padding:.154em .849em .077em .926em}.navbarmr1 .menubar1 .first{padding-left:0}.navbarmr1 .menubar1 .first a{padding-left:1.003em}.navbarmr1 .menubar1{background:#f4f4f4 url(../../i/a8/27fb8575297bbf41285acd439e7cec.gif) repeat-x 0 100%}.navbarmr1 .menubar1 ul{background:transparent url(../../i/b7/152dd7cb5e0636eaae439045b1cc9a.gif) no-repeat 50% 46%}.navbarmr2 .menubar1 a:link,.navbarmr2 .menubar1 a:visited,.navbarmr2 .menubar1 a:active,.navbarmr2 .menubar1 a:hover,.navbarmr2 .menubar1 span{margin:.154em 0 .54em;padding:.154em 1.003em .077em}.navbarmr2 .menubar1 .first{padding-left:0}.navbarmr2 .menubar1 .first a{padding-left:1.08em}.navbarmr2 .menubar1{background:#f4f4f4 url(../../i/a8/27fb8575297bbf41285acd439e7cec.gif) repeat-x 0 100%}.navbarmr2 .menubar1 ul{background:transparent url(../../i/b7/152dd7cb5e0636eaae439045b1cc9a.gif) no-repeat 50% 46%}.datebar1_intl{margin:.667em 0}.datebar1_intl .br1 .link{margin:0 0 0 1em}#wrapper .datebar1_intl .br2{float:right;text-align:right}.datebar1_intl .br2 .link{margin:0 1em 0 0;font-weight:bold}.co2b1 .br{float:left}.co2b1 .more{clear:both}.co2b1 .br .more{clear:none}.blowoutmod1{clear:left}.blowout1{border-bottom:solid 1px #e1e1e1;padding:.333em 2em 1.333em;margin:0 0 1.66em}.blowout1 .link{text-align:left;margin-top:0}.blowout1 .link .richtext{padding-top:.12em;font-size:100%}.blowout1 .rlink{font-size:117%;padding:.3em 0 0 0}.blowout1 .link a img{display:block}.blowout1 h2{font-size:150%;font-weight:normal;line-height:1.13em;margin:0 0 .25em}.blowout1 h2 a,.blowout1 h2 a:link,.blowout1 h2 a:visited{color:#666}.blowout1 h3{font-size:267%;font-weight:normal;line-height:1.09em;margin-bottom:.2em}.blowout1 div p{margin:0;padding:0}.blowout1 ul{list-style-type:none;margin:.75em 0;padding:0}.blowout1 ul li{line-height:1.5em;margin-bottom:.5em}.blowout1 .bullet li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9170px;padding-left:1.083em}.blowout2{padding:.774em 2.667em 1.1em}.blowout2 .ccol{margin-top:.333em}.blowout2 h2{font-size:133%;font-weight:normal;line-height:1.13em;margin-bottom:.351em}.blowout2 h2 a,.blowout2 h2 a:link,.blowout2 h2 a:visited{color:#666}.blowout2 h3{font-size:400%;font-weight:bold;line-height:1.2em;margin-bottom:.455em}.blowout2 .richtext{float:left;line-height:1.5em;margin:0;padding-top:.25em;width:36em}.blowout2 ul.bullet{list-style-type:none;margin:0;margin-left:36em;padding-left:3.667em}.blowout2 ul.bullet li{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9167px;line-height:2em;padding-left:1.083em}.blowout2 ul.normal{list-style-type:none;margin:0;margin-left:36em;padding-left:3.667em}.blowout2 ul.normal li{line-height:2em}.blowout3{margin-left:2.667em;margin-right:2.667em;padding-top:.667em}.blowout3 h2 a,.blowout3 h2 a:link{color:#666;font-size:133%;font-weight:normal}.blowout3 h2{padding:0 0 0 .167em}.blowout3 h3{margin:.385em 0 1.125em}.blowout3 h3 a,.blowout3 h3 a:link{font-size:300%;line-height:1.194em}.blowout3 .richtext p{line-height:1.5em;margin:0;padding:0 0 0 .25em}.blowout3 ul.bullet{list-style-type:none;margin:0;padding-left:0}.blowout3 ul.bullet li{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9167px;line-height:2em;padding-left:1.083em}.blowout3 .link{margin-top:1.5em;padding-left:.25em}.blowout3 .link .image{float:left}.blowout3 .link .abs{margin-left:138px}.blowout3 .link .abs a,.blowout3 .link .abs a:link{font-size:117%;font-weight:bold;line-height:1.143em}.blowout3 .link .abs .richtext{margin-top:.416em}.blowout3 .link .abs .richtext p{padding:0}.blowout3 ul.normal{list-style-type:none;margin:.416em 0 0;padding:0 0 0 .25em}.blowout3 ul.normal li{border-bottom:1px solid #e1e1e1;line-height:2.333em}.blowout3 ul.normal li.first{border-top:1px solid #e1e1e1}.w8 .blowout3{margin:0}.blowout11{margin:0 0 1.66em;padding-top:.583em}.blowout11 .ccol{margin-top:.333em}.blowout11 .cl{width:33.33em;float:left;margin-top:.25em}.blowout11 h2{font-size:133%;font-weight:normal;line-height:1.13em;margin:.083em}.blowout11 h2 a,.blowout11 h2 a:link,.blowout11 h2 a:visited{color:#666}.blowout11 h3{font-size:300%;font-weight:bold;line-height:1.2em;margin-bottom:.2em}.blowout11 div p{line-height:1.5em;margin:0;padding:0}.blowout11 ul{list-style-type:none;margin:0;margin-left:33.33em;padding-left:2em}.blowout11 ul li{line-height:2em}.blowout11 .bullet li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9168px;padding-left:1.083em}.breaknews1{font-size:133%;line-height:normal;padding:.625em .75em;text-align:left;margin:0 0 .667em;border:solid 1px #c32e2e}.breaknews1,.breaknews1 .richtext a,.breaknews1 .richtext a:link,.breaknews1 .richtext a:visited,.breaknews1 .richtext h4,.breaknews1 .richtext p,.breaknews1 .richtext span.custom{background-color:#ba1010;color:#fff;font-weight:bold}.breaknews1 .richtext h4{display:inline;margin:0 1em 0 0}.breaknews1 .richtext p{display:inline;margin:0}.richtext a,.richtext a:link,.richtext a:visited{text-decoration:underline}.richtext cite,.richtext dfn{font-style:normal}.richtext h4{margin:0 0 3px 0}.richtext p{margin:0 0 1em 0}.richtext code,.richtext samp,.richtext kbd{font-family:\"courier new\",courier,monospace;vertical-align:baseline}.searchbar1{text-align:left}#wrapper .searchbar1 .br{margin:0;width:628px}.searchbar2{clear:both;text-align:center}#wrapper .searchbar2 .br{margin:0 auto;width:487px}#subfoot .ro div.searchbar2{min-width:978px;width:81.5em}.searchbar3{clear:both;text-align:center;padding-bottom:1.333em}#wrapper .searchbar3 .br{margin:0 auto;width:555px}.searchbar4{clear:both;text-align:center;padding:2.333em 0 .833em 0}#wrapper .searchbar4 .br{margin:0 auto;width:514px}.searchbar4 .websearch2 input.text{width:315px}#sw_as{display:none;position:relative;z-index:100}input.text{-webkit-appearance:none;-webkit-border-radius:0}.websearch2 h2,.websearch2 label.hide{display:none}.websearch2 form{margin:0}.websearch2 input.image{border:0;cursor:pointer;display:block;float:left;margin:0;margin-left:3px;padding:2px 2px 2px 0;text-align:right}.websearch2 input.text,.websearch2 select.dd{border:0;color:#333;display:block;float:left;margin:0;margin-top:7px;outline:none;padding:0;text-align:left;width:302px}.websearch2 input.txt1{border:solid 1px #c0c0c0;padding:5px 0 3px 3px;margin:1px 1px 0 -3px}.websearch2 input.txt2{border:solid 1px #c0c0c0;padding:5px 0 3px 3px;margin:1px 0 0 1px}.websearch2 select.dd{border:solid 1px #c0c0c0;border-top:solid 1px #a0a0a0;margin:1px 0 1px 1px;padding:3px 3px 3px 0}.websearch2 .opt,.websearch2 .scopes{font-family:verdana,sans-serif;font-size:100%}.websearch2 a,.websearch2 a:link,.websearch2 a:visited,.websearch2 a:hover,.websearch2 a:active,.websearch2 label,.websearch2 span,.websearch2 a:hover span{color:#fff}.websearch2 a:hover span{text-decoration:underline}.websearch2 span.bi{background-color:#fff;border:solid 1px grey;display:block;float:left;padding:0 0 0 5px}.websearch2 span.bo{clear:both;display:block;float:left}#headsrch .websearch2 span.bi{border:solid 1px grey}.websearch2 .opt{clear:both;color:#fff;margin-top:5px}.websearch2 .opt a,.websearch2 .opt label{margin:0 2px}.websearch2 .opt label{margin-left:5px;margin-right:11px}.websearch2 .opt a.first{margin:0}.websearch2 .opt input{margin:0 0 4px 0;padding:0;vertical-align:middle}.websearch2 .opt .delimited{display:inline}.websearch2 .opthide input,.websearch2 .opthide label{display:none}.websearch2 .scopes a{display:block;float:left;padding:2px 6px 4px 6px}.websearch2 .scopes a.selected,.websearch2 .scopes a.selected:hover{background:none;margin-bottom:0;padding-bottom:.417em}.websearch2 .scopes a.selected,.websearch2 .scopes a.selected:hover,.websearch2 .scopes a.selected span,.websearch2 .scopes a.selected:hover span{cursor:default;font-weight:bold;position:relative;text-decoration:none}.websearch2 .scopes span{display:inline;float:left;margin-top:1px}.websearch2 .scopes a span{display:inline-block;float:none;font-size:100%;margin-top:0;cursor:pointer}.websearch2 .scopes a span.icon1{background:transparent url(../../i/50/f63ed0301e8b02a8a42d8590a46291.gif) no-repeat right center;padding-right:30px}.websearch2 .scopes a span.icon2{background:transparent url(../../i/50/f63ed0301e8b02a8a42d8590a46291.gif) no-repeat right center;padding-right:30px}.websearch2 .scopes a span.icon3{background:transparent url(../../i/50/f63ed0301e8b02a8a42d8590a46291.gif) no-repeat right center;padding-right:30px}.websearch2 .scopes a span.icon4{background:transparent url(../../i/50/f63ed0301e8b02a8a42d8590a46291.gif) no-repeat right center;padding-right:30px}.websearch2 .scopes a span.icon5{background:transparent url(../../i/50/f63ed0301e8b02a8a42d8590a46291.gif) no-repeat right center;padding-right:30px}.websearch2 input.text.extend{width:366px}.websearch2 input.himg{margin:5px 0 0;padding:3px 0 5px}#subfoot .websearch2 input.himg{margin:2px 0 0;padding:2px 0 4px}.footer1 .msnfoot1{background-color:#ededed;margin:0}.footer2 .msnfoot1{background-color:#fff}.footer2 .msnfoot1 a,.footer2 .msnfoot1 a:link,.footer2 .msnfoot1 a:visited{color:#999}.footer2 .msnfoot1 .copyright{color:#999}.footer3 .msnfoot1{border-top:solid 1px #666;background-color:#fff}.footer3 .msnfoot1 .copyright span{border-right:solid 1px #666}.footer3 .msnfoot1 .copyright,.footer3 .copyright a:hover,.footer3 .copyright a:hover span,.footer3 .copyright a:active span{color:#666}.footer3 .msnfoot1 a,.footer3 .msnfoot1 a:link,.footer3 .msnfoot1 a:visited,.footer3 .msnfoot1 a:hover,.footer3 .msnfoot1 a:active{color:#666}.msnfoot1{border-top:solid 1px #cdcdcd;font-size:92%;line-height:normal;margin:0;padding:.5em 0 0 0}.msnfoot1 a,.msnfoot1 a:link,.msnfoot1 a:visited{color:#999}.msnfoot1 a:hover,.msnfoot1 a:active{color:#999}.msnfoot1 .primary li{float:left;display:block;padding:0 .833em}.msnfoot1 .primary li.first{padding-left:0}.msnfoot1 .secondary{text-align:right}.msnfoot1 .secondary a{white-space:pre}.msnfoot1 .secondary li{float:right;display:block;padding:0 .833em}.msnfoot1 .secondary li.first{padding-right:0}.msnfoot1 ul{list-style-type:none;margin:0;padding:0}.msnfoot1 .copyright{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 100% -116px;clear:right;color:#999;float:right;margin:1.5em 0 0 0;min-height:20px}.msnfoot1 .copyright span{border-right:solid 1px #999;display:block;line-height:20px;margin-right:105px;padding-right:10px}.copyright a:hover,.copyright a:hover span,.copyright a:active span{text-decoration:none;color:#999}.copyright a:hover span{cursor:default}.copyright a{display:block}.ad1 .adfb a,.ad1 .adfb a:link,.ad1 .adfb a:visited{color:#666;font-size:83%;line-height:1.5em}.ad1 .adfb a:hover,.ad1 .adfb a:active{color:#333}.adfb.left span,.adfb.left a.adch{float:left}.adfb.left a,.adfb.right a.adch{float:right}.adfb{color:#666;text-align:center;width:100%}.alert1 a,.alert1 a:link,.alert1 a:visited{color:#333}.alert1 a:hover,.alert1 a:active{color:#000}.linkedimglink1{display:block;margin:0;padding:0}.linkedimglink1 a{clear:right;display:block;float:left}.linkedimglink1 a span{cursor:pointer;float:left;padding-top:8px}.linkedimglink1 img{border:none;float:left;margin-right:6px}.linkedimglink2{margin:0;padding:0}.linkedimglink2 a{display:block}.linkedimglink2 a span{cursor:pointer;float:right;text-align:right;padding-top:8px}.linkedimglink2 img{border:none;margin-left:6px;float:right}.bingmapintl1 .complex2 fieldset{margin:0;padding:0}.bingmapintl1 .complex2 div{margin-top:0}.bingmapintl1 .complex2 input{margin-bottom:.667em}.bingmapintl1 .complex2 select{margin-bottom:.833em}.bingmapintl1 .complex2 input.button,.bingmapintl1 .complex2 input.image{margin-bottom:0}.bingmapintl1{background:transparent url(../../i/30/7e52fddb1099a4ca4ffbc79fe7cb2e.gif) no-repeat left bottom}.complex2,.complex2 p{margin:0;padding:0}.complex2 fieldset{border:none;clear:both}.complex2 fieldset.last div{display:block}.complex2 cite,.complex2 label{display:block;font-style:normal}.complex2 cite,.complex2 div{margin-top:.5em}.complex2 select{font-size:100%}.complex2 input{font-size:100%;line-height:1.25em}.complex2 label,.complex2 select{margin-bottom:.2em}.complex2 input.alt{float:right}.co4b5 .b3{display:none}.headlinelist2 div{float:left}.headlinelist2 ul{margin:0;padding:0}.headlinelist2 ul li{display:list-item;list-style-type:none;margin:0;padding:.417em 0}.headlinelist2 ul li.first{border-top:none;padding-top:0}.headlinelist2 ul li a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4991px;display:block;line-height:1.25em;padding:0;padding-left:18px}.headlinelist2 span.media a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px}.headlinelist2 span.icon{display:inline-block;font-size:75%;margin-left:.25em;text-decoration:none}.headlinelist2 span.photo{background:transparent url(../../i/ab/3b4825c969172f563b998f0f4f9a7d.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist2 span.search{background:transparent url(../../i/e8/f22a4df7ab4ccdfe87465fcf1a5e70.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist2 span.blog{background:transparent url(../../i/61/630fbc2c89a2c79d848a92042746b2.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist3 div{float:left}.headlinelist3 ul{margin:0;padding:0}.headlinelist3 ul li{display:list-item;list-style-type:none;margin:0;padding:.417em 0}.headlinelist3 ul li.first{border-top:none;padding-top:0}.headlinelist3 ul li.first a{background-image:none;font-size:117%;font-weight:bold;padding-left:0}.headlinelist3 ul li a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4991px;display:block;line-height:1.25em;padding:0;padding-left:18px}.headlinelist3 span.media a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px}.headlinelist3 span.icon{display:inline-block;font-size:75%;margin-left:.25em;text-decoration:none}.headlinelist3 span.photo{background:transparent url(../../i/ab/3b4825c969172f563b998f0f4f9a7d.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist3 span.search{background:transparent url(../../i/e8/f22a4df7ab4ccdfe87465fcf1a5e70.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist3 span.blog{background:transparent url(../../i/61/630fbc2c89a2c79d848a92042746b2.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.imglinkabs1 img{float:left;margin-bottom:3px;margin-right:10px}.imglinkabs1 .media{display:inline}.imglinkabs1 p{margin:.2em 0 0 0}.imglinkabslist1{list-style-type:none;margin:0;padding:0}.imglinkabslist1 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist1 li{margin:0 0 .9em 0}.imglinkabslist1 li.last{margin:0}.imglinkabslist1 .media{display:inline}.imglinkabslist1 div.richtext{margin:.2em 0 0 0}.imglinkabslist1 div.richtext p{margin:0}.imglinkabslist2{list-style-type:none;margin:0;padding:0}.imglinkabslist2 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist2 li{margin:0 0 .9em 0}.imglinkabslist2 li.last{margin:0}.imglinkabslist2 div.richtext{margin:.2em 0 0 0}.imglinkabslist2 div.richtext p{margin:0}.imglinkabslist3{list-style-type:none;margin:0;padding:0}.imglinkabslist3 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist3 li{margin:0 0 .9em 0}.imglinkabslist3 li.last{margin:0}.imglinkabslist3 .media{display:inline}.imglinkabslist3 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist3 div.richtext p{margin:0}.imglinkabslist4{list-style-type:none;margin:0;padding:0}.imglinkabslist4 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist4 li{margin:0 0 .9em 0}.imglinkabslist4 li.last{margin:0}.imglinkabslist4 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist4 div.richtext p{margin:0}.imglinkabslist5{list-style-type:none;margin:0;padding:0}.imglinkabslist5 a,.imglinkabslist5 img{display:block;margin:0 0 3px 0}.imglinkabslist5 li{margin:0 0 .9em 0}.imglinkabslist5 li.last{margin:0}.imglinkabslist5 a{display:inline}.imglinkabslist5 div.richtext p{margin:0}.imglinkabslist6{list-style-type:none;margin:0;padding:0}.imglinkabslist6 a,.imglinkabslist6 img{display:block;margin:0 0 3px 0}.imglinkabslist6 li{margin:0 0 .9em 0}.imglinkabslist6 li.last{margin:0}.imglinkabslist6 div.richtext{border-top:solid 1px #ace;margin:0;padding:.3em 0 0 0}.imglinkabslist6 div.richtext a{display:inline}.imglinkabslist6 div.richtext p{margin:0}.imglinkabslist7{list-style-type:none;margin:0;padding:0}.imglinkabslist7 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist7 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist7 .media{display:inline}.imglinkabslist7 div.richtext{margin:.2em 0 0 0}.imglinkabslist7 div.richtext p{margin:0}.imglinkabslist8{list-style-type:none;margin:0;padding:0}.imglinkabslist8 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist8 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist8 div.richtext{margin:.2em 0 0 0}.imglinkabslist8 div.richtext p{margin:0}.imglinkabslist9{list-style-type:none;margin:0;padding:0}.imglinkabslist9 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist9 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist9 .media{display:inline}.imglinkabslist9 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist9 div.richtext p{margin:0}.imglinkabslist10{list-style-type:none;margin:0;padding:0}.imglinkabslist10 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist10 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist10 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist10 div.richtext p{margin:0}.imglinkabslist11{list-style-type:none;margin:0;padding:0}.imglinkabslist11 a,.imglinkabslist11 img{display:block;margin:0 0 3px 0}.imglinkabslist11 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist11 div.richtext a{display:inline}.imglinkabslist11 div.richtext p{margin:0}.imglinkabslist12{list-style-type:none;margin:0;padding:0}.imglinkabslist12 a,.imglinkabslist12 img{display:block;margin:0 0 3px 0}.imglinkabslist12 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:47%}.imglinkabslist12 div.richtext{border-top:solid 1px #ace;margin:0;padding:.3em 0 0 0}.imglineabslist12 div.richtext a{display:inline}.imglinkabslist12 div.richtext p{margin:0}.imglinkabslist13{list-style-type:none;margin:0;padding:0}.imglinkabslist13 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist13 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist13 .media{display:inline}.imglinkabslist13 div.richtext{margin:.2em 0 0 0}.imglinkabslist13 div.richtext p{margin:0}.imglinkabslist14{list-style-type:none;margin:0;padding:0}.imglinkabslist14 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist14 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist14 div.richtext{margin:.2em 0 0 0}.imglinkabslist14 div.richtext p{margin:0}.imglinkabslist15{list-style-type:none;margin:0;padding:0}.imglinkabslist15 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist15 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist15 .media{display:inline}.imglinkabslist15 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist15 div.richtext p{margin:0}.imglinkabslist16{list-style-type:none;margin:0;padding:0}.imglinkabslist16 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist16 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist16 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist16 div.richtext p{margin:0}.imglinkabslist17{list-style-type:none;margin:0;padding:0}.imglinkabslist17 a,.imglinkabslist17 img{display:block;margin:0 0 3px 0}.imglinkabslist17 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist17 div.richtext a{display:inline}.imglinkabslist17 div.richtext p{margin:0}.imglinkabslist18{list-style-type:none;margin:0;padding:0}.imglinkabslist18 img{display:block;margin:0 0 3px 0}.imglinkabslist18 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:28%}.imglinkabslist18 div.richtext{border-top:solid 1px #ace;margin:0;padding:.3em 0 0 0}.imglinkabslist18 div.richtext p{margin:0}.imglinkabslist19{list-style-type:none;margin:0;padding:0}.imglinkabslist19 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist19 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:21%}.imglinkabslist19 .media{display:inline}.imglinkabslist19 div.richtext{margin:.2em 0 0 0}.imglinkabslist19 div.richtext p{margin:0}.imglinkabslist20{list-style-type:none;margin:0;padding:0}.imglinkabslist20 img{float:right;margin:0;margin-bottom:3px;margin-left:6px}.imglinkabslist20 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:21%}.imglinkabslist20 div.richtext{margin:.2em 0 0 0}.imglinkabslist20 div.richtext p{margin:0}.imglinkabslist21{list-style-type:none;margin:0;padding:0}.imglinkabslist21 img{float:left;margin:0;margin-bottom:3px;margin-right:6px}.imglinkabslist21 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:21%}.imglinkabslist21 .media{display:inline}.imglinkabslist21 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist21 div.richtext p{margin:0}.imglinkabslist22{list-style-type:none;margin:0;padding:0}.imglinkabslist22 img{float:right;margin:0 0 3px 0;margin-left:6px}.imglinkabslist22 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:21%}.imglinkabslist22 div.richtext{border-top:solid 1px #ace;margin:.2em 0 0 0;padding:.3em 0 0 0}.imglinkabslist22 div.richtext p{margin:0}.imglinkabslist23{list-style-type:none;margin:0;padding:0}.imglinkabslist23 a,.imglinkabslist23 img{display:block;margin:0 0 3px 0}.imglinkabslist23 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;min-width:90px;width:21%}.imglinkabslist23 div.richtext a{display:inline}.imglinkabslist23 div.richtext p{margin:0}.imglinkabslist24{list-style-type:none;margin:0;padding:0}.imglinkabslist24 a,.imglinkabslist24 img{display:block;margin:0 0 3px 0}.imglinkabslist24 li{display:block;float:left;margin:0;margin-bottom:.9em;margin-right:3%;width:21%}.imglinkabslist24 div.richtext{border-top:solid 1px #ace;margin:0;padding:.3em 0 0 0}.imglinkabslist24 div.richtext a{display:inline}.imglinkabslist24 div.richtext p{margin:0}.linkedimglinklist13{list-style-type:none;margin:0;padding:0}.linkedimglinklist13 li{display:block;float:left;margin-bottom:.917em;margin-right:0;position:relative;width:47%}.linkedimglinklist13 a{display:block}.linkedimglinklist13 a:after{content:\".\";clear:both;display:block;height:0;visibility:hidden}.linkedimglinklist13 img{border:none;float:left;margin-bottom:.417em;margin-right:.417em}.linkedimglinklist13 a span{cursor:pointer;padding-top:.5em}.linklist9{list-style-type:none;margin:0;padding:0}.linklist9 a{white-space:pre}.linklist9 li{border-right:solid 1px #ccc;float:left;margin:0 0 2px 0;margin-right:.7em;padding:0;padding-right:.617em}.linklist9 li.last{border-right:none;margin:0;padding:0}.linklist16{list-style-type:none;margin:0;padding:0}.linklist16 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9168px;margin:0;padding:.25em 0 .2em 0;padding-left:9px}.linkimgabs1 a{display:block;margin:0 0 .4em 0}.linkimgabs1 br{display:none}.linkimgabs1 div.richtext br{display:inline}.linkimgabs1 img{display:block;float:left;margin-bottom:3px;margin-right:6px}.linkimgabs1 div.richtext a{display:inline}.dating1{background-color:transparent;padding:0}.dating1 br{display:none}#wrapper .dating1 .br2{padding-top:0}.dating1 .linkedimglinklist8 img{margin-bottom:0}.dating1 .linkedimglinklist8 li{padding:0 .333em 0 0;margin:0;text-align:left}.dating1 .linkedimglinklist8 li.last{padding:0}.w4 .dating1 .linkedimglinklist8 li{width:6.25em}.w4 .dating1 .linkedimglinklist8 li.last{width:5em}.dating1 .linkedimglinklist8 a span{font-size:92%;line-height:1em}.dating1 .complex1 .dddiv1,.dating1 .complex1 .dddiv2{float:left}.dating1 .complex1 .dddiv1 select,.dating1 .complex1 .dddiv2 select,.dating1 .complex1 .dddiv3,.dating1 .complex1 .dddiv5{clear:left}.dating1 .complex1 .dddiv2{margin-left:1.5em}.dating1 .complex1 .dddiv3,.dating1 .complex1 .dddiv5{padding-top:.583em}.dating1 .complex1 .dddiv4{margin-top:0}.dating1 .complex1 .dddiv1 label,.dating1 .complex1 .dddiv2 label{margin-bottom:.25em}.dating1 .complex1 .dddiv3 label,.dating1 .complex1 .dddiv4 label,.dating1 .complex1 .dddiv5 label{margin-top:.167em}.dating1 .complex1 label,.dating1 .complex1 select{margin-right:.667em;margin-bottom:0}.dating1 .complex1 label{color:#333;margin-top:0}.dating1 .complex1 select{color:#666}.dating1 .complex1 .button{background-color:#36b701;border:solid 1px #009ad9;color:#fff;font-weight:normal}#wrapper .dating1 .br4{padding-top:1.25em}.dating1 fieldset #mygender{clear:left}.dating1 fieldset #theirgenderlbl{float:none}.dating1 fieldset#cff1 label{margin-right:5.2em;margin-top:0}.dating1 fieldset#cff1 select{margin-right:2.2em}.dating1 fieldset{padding:.5em 0 0}.dating1 fieldset.last{padding-bottom:.5em;padding-top:.833em}.dating1 fieldset.last label{margin-top:.167em}.dating1 fieldset.last select{width:auto;margin-top:.167em}.dating2{background-color:#fff0fb;padding:0}#wrapper .dating2 .h2{border-bottom:0;margin:0 0 0 .641em}.dating2 br{display:none}.dating2 .br1,.dating2 .br2{padding:0 0 0 .75em}#wrapper .dating2 .br2{padding-top:0}.dating2 .linkedimglinklist8 li{padding:0 1.222em 0 0;margin:0;text-align:left}.dating2 .linkedimglinklist8 li.last{padding:0}.w4 .dating2 .linkedimglinklist8 li{width:5em}.w4 .dating2 .linkedimglinklist8 li.last{width:5em}.dating2 .linkedimglinklist8 a span{font-size:92%;line-height:1em}.dating2 .complex1 .dddiv1,.dating2 .complex1 .dddiv2{float:left}.dating2 .complex1 .dddiv1 select,.dating2 .complex1 .dddiv2 select,.dating2 .complex1 .dddiv3,.dating2 .complex1 .dddiv5{clear:left}.dating2 .complex1 .dddiv2{margin-left:1.5em}.dating2 .complex1 .dddiv3,.dating2 .complex1 .dddiv5{padding-top:.583em}.dating2 .complex1 .dddiv4{margin-top:0}.dating2 .complex1 .dddiv1 label,.dating2 .complex1 .dddiv2 label{margin-bottom:.25em}.dating2 .complex1 .dddiv3 label,.dating2 .complex1 .dddiv4 label,.dating2 .complex1 .dddiv5 label{margin-top:.167em}.dating2 .complex1 label,.dating2 .complex1 select{margin-right:.667em;margin-bottom:0}.dating2 .complex1 label{color:#333}.dating2 .complex1 select{color:#666}.dating2 .complex1 .button{background-color:#36b701;border:solid 1px #92b0dd;color:#fff;font-weight:bold}#wrapper .dating2 .br4{padding-top:1.25em}.dating2 fieldset #mygender{clear:left}.dating2 fieldset #theirgenderlbl{float:none}.dating2 fieldset#cff1 label{margin-right:5.2em}.dating2 fieldset#cff1 select{margin-right:2.2em}.dating2 fieldset{padding:.5em 0 0}.dating2 fieldset.last{padding-bottom:.5em}.dating2 fieldset.last label{margin-top:0}.dating2 fieldset.last select{margin-top:0}.complex1,.complex1 p{margin:0;padding:0}.complex1 fieldset{border:none;clear:both}.complex1 fieldset.last div{margin-top:0}.complex1 cite{display:block;font-style:normal}.complex1 label,.complex1 select,.complex1 input,.complex1 textarea{float:left;margin-right:.2em}.complex1 cite,.complex1 div{margin-top:.5em}.complex1 select{font-size:100%}.complex1 input{font-size:100%;line-height:1.25em}.complex1 input.alt{float:right}.linkedimg1 a:hover{text-decoration:none}.linkedimglinklist8{list-style-type:none;margin:0;padding:0}.linkedimglinklist8 a{float:left}.linkedimglinklist8 a:hover{text-decoration:none}.linkedimglinklist8 img{border:none;margin-bottom:.417em}.linkedimglinklist8 li{float:left;margin:0 auto;padding:0 .5em;text-align:center}.linkedimglinklist8 a span{display:block}.double1 .linklist22 li.first{border-top:none;padding-top:0}#wrapper .w4 .co2b1 .w2{margin:0;min-width:140px;width:11.667em}#wrapper .w4 .co2b1 .br1{margin:0 1.667em 0 0}#wrapper .w8 .co2b1 .w4,#wrapper .w8 .co3b6 .w4{margin:0;min-width:300px;width:25em}#wrapper .w8 .co2b1 .br1{margin:0 2.333em 0 0}.hlcp1 .pri .piped,.hlcp1 .pri a,.hlcp1 .pri a:link,.hlcp1 .pri a:visited{color:#333;font-size:150%;line-height:1.22em}.hlcp1 .pri a:hover,.hlcp1 .pri a:active{color:#000}.hlcp1 .sec .piped,.hlcp1 .sec a,.hlcp1 .sec a:link,.hlcp1 .sec a:visited{color:#333;font-size:117%;line-height:1.07em}.hlcp1 .sec a:hover,.hlcp1 .sec a:active{color:#000}#wrapper .hlcp1 p a,#wrapper .hlcp1 p a:link,#wrapper .hlcp1 p a:visited,#wrapper .hlcp1 p a:hover,#wrapper .hlcp1 p a:active{font-size:100%;line-height:100%;text-decoration:underline}.hlcp1 .pri .piped a,.hlcp1 .pri .piped a:link,.hlcp1 .pri .piped a:hover,.hlcp1 .pri .piped a:visited,.hlcp1 .pri .piped a:active,.hlcp1 .sec .piped a,.hlcp1 .sec .piped a:link,.hlcp1 .sec .piped a:hover,.hlcp1 .sec .piped a:visited,.hlcp1 .sec .piped a:active{font-size:100%;line-height:100%}.hlcp1 .pri div{margin:.833em 0 0 0}.hlcp1 .cf{margin:0 0 .833em}.hlcp1 .pri div div,.hlcp1 .pri .first{margin:0}.hlcp1 img{border:0;display:block}.hlcp1 .pri img.landscape{margin:0 0 .333em 0}.hlcp1 .sec img.landscape{margin:0 .833em .833em 0}.hlcp1 ul.right .sec img{float:right}.hlcp1 ul.left .sec img{float:left}.hlcp1 .richtext p{margin:0}.hlcp1 .pri .richtext{margin:.333em 0 0 0}.hlcp1 .sec .richtext{margin:.083em 0 0 0}.hlcp1 ul{list-style-type:none;margin:0;padding:0}.hlcp1 li.sec{display:inline-block;padding:0;width:100%}.hlcp1 li.sec.last{padding:0}.hlcp1 li.ter,.hlcp1 li.media,.hlcp1 li.blog,.hlcp1 li.text,.hlcp1 li.search,.hlcp1 li.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4986px;display:list-item;line-height:1.333em;margin:0;padding:.333em 0 .333em 1.583em}.hlcp1 li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5100px}.hlcp1 li.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5214px;padding-left:19px}.hlcp1 li.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5328px;padding-left:19px}.hlcp1 li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5442px;padding-left:19px}.hlcp1 li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5556px;padding-left:19px}.hlcp1 li.conversation{background:transparent url(../../i/44/8adae8665171049ce4960396c72c86.gif) no-repeat 0 .5em}.hlcp1 ul span.piped span.conversation{background:transparent url(../../i/44/8adae8665171049ce4960396c72c86.gif) no-repeat 0 .167em;padding-left:19px}.hlcp1 span.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px}.hlcp1 span.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5219px}.hlcp1 span.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5447px}.hlcp1 span.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5561px}.hlcp1 span.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5333px}.hlcp1 .pri span.media,.hlcp1 .pri span.search,.hlcp1 .pri span.blog,.hlcp1 .pri span.text,.hlcp1 .pri span.photolink{background-image:none}.hlcp1 .pri span.piped span.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5101px}.hlcp1 .pri span.piped span.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5215px}.hlcp1 .pri span.piped span.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5443px}.hlcp1 .pri span.piped span.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5557px}.hlcp1 .pri span.piped span.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5329px}.hlcp1 .pri span.media a,.hlcp1 .pri span.search a,.hlcp1 .pri span.blog a,.hlcp1 .pri span.text a,.hlcp1 .pri span.photolink a{margin-left:0}.hlcp1 span.media a,.hlcp1 .pri span.piped span.media a,.hlcp1 span.search a,.hlcp1 .pri span.piped span.search a,.hlcp1 span.blog a,.hlcp1 .pri span.piped span.blog a,.hlcp1 span.text a,.hlcp1 .pri span.piped span.text a,.hlcp1 span.photolink a,.hlcp1 .pri span.piped span.photolink a{margin-left:19px}.hlcp1 .date{color:#999;clear:both;float:left;padding:.938em 0 1.667em 0}.hlcp1 span.icon{display:inline-block;font-size:75%;margin-left:.25em;text-decoration:none}.hlcp1 .pri .piped span.icon{font-size:50%}.hlcp1 span.new{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.fresh1{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.fresh2{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.fresh3{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.photo{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:10px}.hlcp1 span.dest1{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.dest2{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:12px}.hlcp1 span.dest3{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:12px}.hlcp1 .pri span.new{padding-top:0}.hlcp1 .pri span.fresh1{padding-top:0}.hlcp1 .pri span.fresh2{padding-top:0}.hlcp1 .pri span.fresh3{padding-top:0}.hlcp1 .pri span.photo{padding-top:0}.hlcp1 .pri span.dest1{padding-top:0}.hlcp1 .pri span.dest2{padding-top:0}.hlcp1 .pri span.dest3{padding-top:0}.hlcp1 .pri span.icon{vertical-align:bottom}.hlcp1 li a.iconslink:hover{text-decoration:none}.hlcp1 .sec span.new{padding-top:4px}.hlcp1 .sec span.fresh1{padding-top:4px}.hlcp1 .sec span.fresh2{padding-top:4px}.hlcp1 .sec span.fresh3{padding-top:4px}.hlcp1 .sec span.photo{padding-top:2px}.hlcp1 .sec span.dest1{padding-top:2px}.hlcp1 .sec span.dest2{padding-top:2px}.hlcp1 .sec span.dest3{padding-top:2px}.hlcp1 .ter span.new{padding-top:1px}.hlcp1 .ter span.fresh1{padding-top:1px}.hlcp1 .ter span.fresh2{padding-top:1px}.hlcp1 .ter span.fresh3{padding-top:1px}.hlcp1 .ter span.photo{padding-top:2px}.hlcp1 .ter span.dest1{padding-top:2px}.hlcp1 .ter span.dest2{padding-top:2px}.hlcp1 .ter span.dest3{padding-top:2px}.hlcp1 .pri .first .image a{display:block}.hlcp1 .pri .first .ivideo a{display:block}.hlcp2 .pri .piped,.hlcp2 .pri a,.hlcp2 .pri a:link,.hlcp2 .pri a:visited{color:#333;font-size:150%;line-height:1.22em}.hlcp2 .pri a:hover,.hlcp2 .pri a:active{color:#000}.hlcp2 .sec .piped,.hlcp2 .sec a,.hlcp2 .sec a:link,.hlcp2 .sec a:visited{color:#333;font-size:117%;line-height:1.07em}.hlcp2 .sec a:hover,.hlcp2 .sec a:active{color:#000}#wrapper .hlcp2 p a,#wrapper .hlcp2 p a:link,#wrapper .hlcp2 p a:visited,#wrapper .hlcp2 p a:hover,#wrapper .hlcp2 p a:active{font-size:100%;line-height:100%;text-decoration:underline}.hlcp2 .pri .piped a,.hlcp2 .pri .piped a:link,.hlcp2 .pri .piped a:hover,.hlcp2 .pri .piped a:visited,.hlcp2 .pri .piped a:active,.hlcp2 .sec .piped a,.hlcp2 .sec .piped a:link,.hlcp2 .sec .piped a:hover,.hlcp2 .sec .piped a:visited,.hlcp2 .sec .piped a:active{font-size:100%;line-height:100%}.hlcp2 .pri div{margin:.833em 0 0 0}.hlcp2 .cf{margin:0 0 .833em}.hlcp2 .pri div div,.hlcp2 .pri .first{margin:0}.hlcp2 img{border:0;display:block}.hlcp2 .pri img.landscape{margin:0 0 .333em 0}.hlcp2 .sec img.landscape{margin:0 .833em .833em 0}.hlcp2 ul.right .sec img{float:right}.hlcp2 ul.left .sec img{float:left}.hlcp2 .richtext p{margin:0}.hlcp2 .pri .richtext{margin:.333em 0 0 0}.hlcp2 .sec .richtext{margin:.083em 0 0 0}.hlcp2 ul{list-style-type:none;margin:0;padding:0}.hlcp2 li.sec{display:inline-block;padding:0}.hlcp2 li.sec.last{padding:0}.hlcp2 li.ter,.hlcp2 li.media,.hlcp2 li.blog,.hlcp2 li.text,.hlcp2 li.search,.hlcp2 li.photolink{border-top:solid 1px #e1e1e1;display:list-item;line-height:1.25em;margin:0;padding:.583em 0}.hlcp2 li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5097px;padding-left:19px}.hlcp2 li.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5211px;padding-left:19px}.hlcp2 li.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5325px;padding-left:19px}.hlcp2 li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5439px;padding-left:19px}.hlcp2 li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5553px;padding-left:19px}.hlcp2 li.conversation{background:transparent url(../../i/44/8adae8665171049ce4960396c72c86.gif) no-repeat 0 .75em;padding-left:19px}.hlcp2 ul span.piped span.conversation{background:transparent url(../../i/44/8adae8665171049ce4960396c72c86.gif) no-repeat 0 .167em;padding-left:19px}.hlcp2 li.tertiarytop{border-top:none}.hlcp2 span.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px}.hlcp2 span.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5219px}.hlcp2 span.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5447px}.hlcp2 span.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5561px}.hlcp2 span.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5333px}.hlcp2 .pri span.media,.hlcp2 .pri span.search,.hlcp2 .pri span.blog,.hlcp2 .pri span.text,.hlcp2 .pri span.photolink{background-image:none}.hlcp2 .pri span.piped span.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5101px}.hlcp2 .pri span.piped span.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5215px}.hlcp2 .pri span.piped span.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5443px}.hlcp2 .pri span.piped span.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5557px}.hlcp2 .pri span.piped span.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5329px}.hlcp2 .pri span.media a,.hlcp2 .pri span.search a,.hlcp2 .pri span.blog a,.hlcp2 .pri span.text a,.hlcp2 .pri span.photolink a{margin-left:0}.hlcp2 span.media a,.hlcp2 .pri span.piped span.media a,.hlcp2 span.search a,.hlcp2 .pri span.piped span.search a,.hlcp2 span.blog a,.hlcp2 .pri span.piped span.blog a,.hlcp2 span.text a,.hlcp2 .pri span.piped span.text a,.hlcp2 span.photolink a,.hlcp2 .pri span.piped span.photolink a{margin-left:19px}.hlcp2 .date{color:#999;clear:both;float:left;padding:.938em 0 1.667em 0}.hlcp2 span.icon{display:inline-block;font-size:75%;margin-left:.25em;text-decoration:none}.hlcp2 .pri .piped span.icon{font-size:50%}.hlcp2 span.new{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp2 span.fresh1{background:transparent url(../../i/d7/fb6441a4c45cb3a3b2f592d914a3cd.gif) no-repeat right 50%;padding-right:31px}.hlcp2 span.fresh2{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp2 span.fresh3{background:transparent url(../../i/77/b23a82d78a0605243aad8f44e8c079.gif) no-repeat right 50%;padding-right:12px}.hlcp2 span.photo{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:12px}.hlcp2 span.dest1{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:10px}.hlcp2 span.dest2{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:10px}.hlcp2 span.dest3{background:transparent url(../../i/b9/ab98403e7de9ce52839e5de99d27e5.gif) no-repeat right 50%;padding-right:10px}.hlcp2 .pri span.new{padding-top:6px}.hlcp2 .pri span.fresh1{padding-top:6px}.hlcp2 .pri span.fresh2{padding-top:6px}.hlcp2 .pri span.fresh3{padding-top:6px}.hlcp2 .pri span.photo{padding-top:6px}.hlcp2 .pri span.dest1{padding-top:6px}.hlcp2 .pri span.dest2{padding-top:6px}.hlcp2 .pri span.dest3{padding-top:6px}.hlcp2 .sec span.new{padding-top:4px}.hlcp2 .sec span.fresh1{padding-top:4px}.hlcp2 .sec span.fresh2{padding-top:4px}.hlcp2 .sec span.fresh3{padding-top:4px}.hlcp2 .sec span.photo{padding-top:2px}.hlcp2 .sec span.dest1{padding-top:2px}.hlcp2 .sec span.dest2{padding-top:2px}.hlcp2 .sec span.dest3{padding-top:2px}.hlcp2 .ter span.new{padding-top:1px}.hlcp2 .ter span.fresh1{padding-top:1px}.hlcp2 .ter span.fresh2{padding-top:1px}.hlcp2 .ter span.fresh3{padding-top:1px}.hlcp2 .ter span.photo{padding-top:2px}.hlcp2 .ter span.dest1{padding-top:2px}.hlcp2 .ter span.dest2{padding-top:2px}.hlcp2 .ter span.dest3{padding-top:2px}.hlcp2 li a.iconslink:hover{text-decoration:none}.hlcp2 .sec{width:100%}.hlcp2 .pri .first .image a{display:block}.hlcp2 .pri .first .ivideo a{display:block}.headlinelist1 div{float:left}.headlinelist1 ul{display:block;margin:0;padding:0}.headlinelist1 ul li{border-top:solid 1px #e1e1e1;display:list-item;line-height:1.25em;list-style-type:none;margin:0;padding:.583em 0}.headlinelist1 ul li.first{border-top:none;padding-top:0}.headlinelist1 span.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px;display:inline-block}.headlinelist1 span.media a{margin-left:19px}.headlinelist1 span.icon{display:inline-block;font-size:75%;margin-left:.25em;text-decoration:none}.headlinelist1 span.photo{background:transparent url(../../i/ab/3b4825c969172f563b998f0f4f9a7d.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist1 span.search{background:transparent url(../../i/e8/f22a4df7ab4ccdfe87465fcf1a5e70.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.headlinelist1 span.blog{background:transparent url(../../i/61/630fbc2c89a2c79d848a92042746b2.gif) no-repeat right 50%;padding-right:10px;padding-top:.25em}.linklist13{list-style-type:none;margin:0;padding:0}.linklist13 li{display:block;float:left;margin:0;margin-right:1%;padding:.25em 0 .2em 0;width:29%}.linklist14{list-style-type:none;margin:0;padding:0}.linklist14 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9168px;display:block;float:left;margin:0 1% 0 0;padding:.25em 0 .2em 0;padding-left:9px;width:44%}.linklist22{list-style-type:none;margin:0;padding:0}.linklist22 li{border-top:solid 1px #e1e1e1;line-height:1.25em;padding:.583em 0}.simple8{margin:0;padding:0;position:relative}.simple8 cite,.simple8 p{display:none}.simple8 div{clear:left}.simple8 div div{border:solid 1px #bcbcbc;clear:none;float:left;padding:2px}.simple8 input.image{margin:1px 0 0 0}.simple8 input.text{border-width:0;font-size:100%;line-height:1.25em;outline:none;padding:7px 3px 0 0;vertical-align:top}.simple8 label{color:#666;display:block}.eula1 h2.h2 span,.eula1 div.h2 span{display:none}.eula1{display:none;left:0;height:100%;text-align:center;top:0;position:absolute;width:100%;z-index:100000}.eula1 h2.h2,.eula1 div.h2{background:transparent url(../../i/09/4ebdf19a1ce03cce12e11926256422.gif) repeat 0 0;height:100%;left:0;position:absolute;text-align:center;width:100%}#wrapper .eula1 .br1{background:#f5f9fb;border:solid 2px #9c9c9c;margin:0 auto;position:relative;top:180px;width:37.2em;z-index:111}.eula1 .richtext{padding:6px 10px;text-align:left}.eula1 .custom2{display:block;font-weight:bold;margin:6px auto;text-align:center}.eula1 .custom2 a{background-color:#eb7c00;border:solid 1px #ffa615;color:#fff;padding:2px 7px}#wrapper #area2 .eula1{margin:0}.actfeed1 .ac-head{margin-top:-5px;border-bottom:1px solid #ccc;width:100%}.actfeed1 .ac-greet{float:left}.actfeed1 .ac-greettext{font-size:117%;padding:4px 0 7px 0}.actfeed1 .ac-upsell,.actfeed1 .ac-errortext{float:left;padding:5px 0 6px 0}.actfeed1 .ac-errortext{padding-top:5px}.actfeed1 .ac-signout{float:right;margin-top:5px}.actfeed1 .ac-signinlink.fbsigninold{margin-top:1px}.actfeed1 .ac-fbsignin{background:url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -397px;float:left;height:19px;width:16px}.actfeed1 .ac-signinlink.fbsigninold a span{background:url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -75px #5f78ab;border-bottom:1px solid #1a356e;border-top:1px solid #879ac0;color:#fff;display:block;font-family:\"lucida grande\",tahoma,verdana,arial,sans-serif;font-weight:bold;margin:1px 1px 0 21px;padding:2px 6px 3px;text-decoration:none}.actfeed1 .ac-signinlink a{padding:2px 0 5px 23px}.actfeed1 .ac-signinlink.fbsigninold a{margin:0;padding:0;background:url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -185px #5f78ab;cursor:pointer;display:inline-block;outline:medium none;text-decoration:none;font-size:92%;line-height:14px;float:left}.actfeed1 .wlsignin{float:left;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -257px;width:20px;height:20px}.actfeed1 .signintxt{float:left;padding-left:4px;padding-top:2px}.actfeed1 span.ac-signintxt-fbb{font-weight:bold;padding-left:6px}.actfeed1 .ac-twsignin{float:left;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -327px;width:18px;height:18px}.actfeed1 .ac-list,.actfeed1 .ac-item{list-style-type:none;margin:0;padding:0}.actfeed1 .ac-item{padding:10px 0 0 0;border-bottom:1px solid #e1e1e1}.actfeed1 .ac-itemauthorpicdiv{width:26px;float:left}.actfeed1 .ac-itemauthorpic{width:26px;height:26px}.actfeed1 .ac-itemmain{margin:-3px 0 0 0;float:right;width:88%;padding:0;overflow:hidden}.actfeed1 .ac-itemauthorname{display:inline;font-weight:bold;float:left}.actfeed1 .ac-itemfoot{padding-left:0;padding-bottom:8px;margin-left:0}.actfeed1 .ac-itemfoot li.first{background:none;padding-left:0}.actfeed1 .ac-itemfoot li{list-style-type:disc;list-style:none;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -1007px;padding-right:8px;padding-left:11px;margin-top:3px;float:left}.actfeed1 .ac-noitems a:link,.actfeed1 .ac-noitems a:visited,.actfeed1 .ac-list a:link,.actfeed1 .ac-list a:visited,.actfeed1 .ac-errortext a:link,.actfeed1 .ac-errortext a:visited,.actfeed1 .ac-foot .ac-footlefthide a:link,.actfeed1 .ac-foot .ac-footlefthide a:visited{color:#000}.actfeed1 .ac-noitems a:hover,.actfeed1 .ac-noitems a:active,.actfeed1 .ac-list a:hover,.actfeed1 .ac-list a:active,.actfeed1 .ac-errortext a:hover,.actfeed1 .ac-errortext a:active,.actfeed1 .ac-foot .ac-footlefthide a:hover,.actfeed1 .ac-foot .ac-footlefthide a:active{color:#000}.actfeed1 .ac-statustext.ac-statustextcurrent,.actfeed1 .ac-commentinput.ac-statustextcurrent,.actfeed1 .ac-signout a:link,.actfeed1 .ac-signout a:visited,.actfeed1 .ac-foot a:link,.actfeed1 .ac-foot a:visited,.actfeed1 .ac-commenttime,.actfeed1 .ac-liketext,.actfeed1 .ac-liketext a:link,.actfeed1 .ac-liketext a:visited,.actfeed1 .ac-itemfoot a:link,.actfeed1 .ac-itemfoot a:visited,.actfeed1 .ac-allcomments a:link,.actfeed1 .ac-allcomments a:visited{color:#666}.actfeed1 .ac-statustext,.actfeed1 .ac-commentinput,.actfeed1 .ac-signout a:hover,.actfeed1 .ac-signout a:active,.actfeed1 .ac-foot a:hover,.actfeed1 .ac-foot a:active,.actfeed1 .ac-itemfoot a:hover,.actfeed1 .ac-itemfoot a:active,.actfeed1 .ac-liketext a:hover,.actfeed1 .ac-liketext a:active,.actfeed1 .ac-allcomments a:hover,.actfeed1 .ac-allcomments a:active{color:#333}.actfeed1 .ac-itemtext{display:inline;margin:0;padding:0}.actfeed1 .ac-itembody{padding-top:5px}.actfeed1.facebook .ac-itembasic{overflow:hidden;line-height:1.34em;max-height:13.4em}.actfeed1.facebook .ac-itembodymain{max-height:9.3em;line-height:1.34em;overflow:hidden}.actfeed1 .ac-itembodypicdiv{float:left;margin-right:9px}.actfeed1 .ac-noitems{padding:10px 0 10px 0;border-bottom:1px solid #e1e1e1}.actfeed1 .ac-status{margin:0;padding:11px 0 12px 0;border-bottom:1px solid #ccc;height:26px}.actfeed1 .ac-statusform{height:26px}.actfeed1 .ac-status .ac-statusmsgs{margin-top:6px}.actfeed1 .ac-statustext,.actfeed1 .ac-commentinput{padding:5px}.actfeed1 .ac-statustext{width:65.3%;float:left}.actfeed1 .ac-commentinput{width:92%;float:right;margin-top:2px}.actfeed1 .ac-commentsubmit{float:right;margin:7px 0 3px 0}.actfeed1 .ac-poststatus{float:right;margin-top:3px;padding:1px 0 0 0;width:27%}.actfeed1 input{font-size:100%;line-height:1.25em}.actfeed1 .ac-foot{padding:6px 0 7px 0;border-bottom:1px solid #e1e1e1}.actfeed1 .ac-footleftshow,.actfeed1 .ac-footlefthide{float:left}.actfeed1 .ac-footright{float:right}.actfeed1 .ac-hidelink{padding-right:15px;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -717px}.actfeed1 a:hover.ac-hidelink{background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -776px}.actfeed1 .ac-showlink{padding-right:15px;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -835px}.actfeed1 a:hover.ac-showlink{background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -894px}.actfeed1 .ac-refreshpic{background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -594px;padding-right:17px;padding-bottom:7px}.actfeed1 a:hover.ac-refreshpic{background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 100% -656px}.actfeed1 .ac-updatestatus{width:283px;height:91px;text-align:center;vertical-align:middle;padding-top:192px}.actfeed1 .ac-load{background:transparent url(../../i/fb/f017d9e8cc630c5e02659b6eaf35fa.gif) no-repeat 0 0}.actfeed1 .ac-comments .ac-commentsform{display:inline}.actfeed1 .ac-comments{list-style-type:none;padding:0;margin:0}.actfeed1 .ac-comments li{list-style:none;padding:10px 0;border-top:1px solid #e1e1e1}.actfeed1 .ac-comments .ac-liketext,.actfeed1 .ac-comments .ac-allcomments{padding:7px 0}.actfeed1 .ac-comments .ac-allcomments a{padding:0 0 5px 30px}.actfeed1 .ac-liketext div.likeicn{display:inline;float:left;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -465px;height:16px;width:20px;margin-left:5px}.actfeed1 .ac-liketext span.liketxt{float:left;padding-left:5px;padding-right:4px;width:230px}.actfeed1 .ac-allcomments a{background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -529px}.actfeed1 .ac-allcomments a.ovrrd{background:none;padding:0 0 5px}.actfeed1 .ac-allcomments span.allcmticn{float:left;background:transparent url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -529px;height:16px;width:20px;margin-left:5px}.actfeed1 .ac-allcomments span.allcmttxt{float:left;padding-left:5px;padding-right:4px;width:230px}.actfeed1 .ac-comment .ac-itemmain{width:86.5%}.actfeed1 .ac-selfcomment{padding:10px 0 0 0}.actfeed1 .ac-heading{padding:7px 0 9px 0;font-size:150%;line-height:1.33em}.actfeed1 .ac-spansignin{margin-left:22px;font-size:100%;line-height:1.33em}.actfeed1 .ac-spanupsell{font-size:100%;line-height:1.33em;margin:15px 0 15px 0}.actfeed1 .ac-signinupsell{margin-top:5px;padding-bottom:18px;border-bottom:1px solid #ccc}.actfeed1 .ac-signinupsell.fbsigninold{margin-top:1px;padding-bottom:12px}.actfeed1 .fbupselldiv{border:1px solid #009a89}.actfeed1 .fbimageupsell{margin:11px 11px 0 10px}.actfeed1 .ac-signinlink a.ac-fbupsellspansignin{display:block;font-weight:bold;line-height:1.2em;margin-right:10px;margin-top:9px;padding-bottom:0;padding-left:0}.actfeed1 .ac-fbspanupsell{font-size:92%;line-height:1.25em;margin:8px 0 8px 0;text-align:center;color:#999}.actfeed1 .ac-closebutton{float:right;padding:10px 14px 0 0;background:url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 -956px;margin:6px 0 0 0}.actfeed1 .ac-upselldiv{border:1px solid #009ad9}.actfeed1 .fbsignin_big{line-height:1em}.actfeed1 .ac-fbsignin_big{background:url(../../i/76/6624502f65ce6b15f584f20925cd28.png) no-repeat 0 0;float:left;height:26px;width:26px}.actfeed1 .fbnonunified{float:right;padding:4px 0 6px 0}.headerbar1{background:#3d8bb6 url(../../i/50/5ab53f587592b4726a9e4cf981ce02.gif) repeat-x 0 0;border-bottom:none;border-left:solid 1px #31789d;border-right:solid 1px #31789d;border-top:solid 1px #5ca8d2;padding:1.667em 0}#wrapper .headerbar1 .br1{margin:11px 0 0 1em}#wrapper .headerbar1 .br2{clear:none;margin:0 0 0 3.167em;height:auto;min-width:0;width:auto}#wrapper .headerbar1 .br3{float:right;line-height:1em;margin:0 1.667em 0 0;font-size:92%}#wrapper .headerbar1 .br4{clear:left;margin:-15px 0 0 1em}.headerbar1,.headerbar1 a,.headerbar1 a:link,.headerbar1 a:visited,.headerbar1 a:hover,.headerbar1 a:active,.headerbar1 a span{color:#fff}.headerbar1 .br2 a span{margin-top:-7px}.headerbar1 .br3 .linkedimglinklist8{float:right}.headerbar1 .br3 ul li{min-width:70px;padding:.417em 0 0 0;width:5.833em}.headerbar1 .richtext a,.headerbar1 .richtext a:link,.headerbar1 .richtext a:visited{text-decoration:none}.headerbar1 .br4 p{margin:0}.headerbar1 .linkedimglinklist8 a{float:none}.headerbar1 .br3 img{display:block;margin:0 auto}.headerbar1 .linkedimglinklist8 a span{display:inline}.headerbar1 .websearch2 .scopes a.selected,.headerbar1 .websearch2 .scopes a.selected:hover{color:#faae32}div.headerbar1 .richtext a:hover,div.headerbar1 .richtext a:hover span{text-decoration:underline}.co4b11 .b3{display:none}.co4b11 .br{display:block;float:left}.co4b11 .br2{clear:left}.co4b11 .more{clear:both}.co4b11 .br .more{clear:none}.fbtw .nocount .fbact{height:21px;overflow:hidden;position:relative}.fbtw .nocount .fb_edge_widget_with_comment iframe{bottom:42px}.fbtw{float:right;height:0;margin:-.8em 1.25em 0 0}.fbtw .twbtn{margin-left:.67em}.fbtw .fb_edge_comment_widget{display:none}.headerbar3{background:#fff url(../../i/00/bf9223e258baaeb56c282aef2f5b1c.jpg) no-repeat center 0;height:9.833em;min-height:9.833em}.headerbar3,.headerbar3 a,.headerbar3 a:link,.headerbar3 a:visited,.headerbar3 a:hover,.headerbar3 a:active,.headerbar3 a span{color:#666}#wrapper .headerbar3 .w12{padding:.583em 1em 0;min-width:79.67em;width:79.67em}.headerbar3 #head1 .br{float:left}.headerbar3 #head1 .br1{float:left;margin:0 1.5em 0 0}.headerbar3 #head1 .linklist1 li{display:inline;padding:0;margin-right:1.5em}.headerbar3 #head1 .br3{float:right}.headerbar3 #head1 .br4{float:right;margin:0 .833em 0 0}.headerbar3 #head1 .br3 .optuser1{float:none}.headerbar3 .optuser1 span{font-size:117%}.headerbar3 #head2{clear:both}.headerbar3 #head2 .br{float:right;margin-top:0}.headerbar3 #head2 .richtext{border-right:1px solid #999;font-size:83%;line-height:1em;margin-right:.5em;padding-right:.5em}.headerbar3 #headlogo{clear:left;float:left;margin-left:1em;margin-top:.25em}.headerbar3 #headsrch{float:left;margin-top:-.583em;margin-left:3.75em}.headerbar3 #headsrch .delimited a,.headerbar3 #headsrch .delimited a:link{padding:0 .5em}.headerbar3 .pgopt1{float:none}.headerbar3 #headwlcard{float:right;margin-top:1.5em}.headerbar3 #headwlcard .linkedimglinklist8{float:right;font-size:83%}.headerbar3 #headwlcard .linkedimglinklist8 a{float:none}.headerbar3 #headwlcard .linkedimglinklist8 a span{display:inline;text-align:center}.headerbar3 #headwlcard .linkedimglinklist8 li{padding:0;width:6.3em;margin:0 1.28em;line-height:1.167em}.headerbar3 #headwlcard .linkedimglinklist8 li.last{margin-right:0}.headerbar3 #headwlcard .linkedimglinklist8 img{display:block;margin:0 auto .167em}.headerbar3 .richtext p{margin:0}#icons4 #headwlcard .linkedimglinklist8{padding-right:.5em}#icons4 #headwlcard .linkedimglinklist8 li{margin:0;width:5.9em}.headerbar3 .richtext a,.headerbar3 .richtext a:link,.headerbar3 .richtext a:visited{text-decoration:none}.headerbar3 .richtext a:hover{text-decoration:underline}.headerbar3 .optuser1 .js li:hover a:hover{text-decoration:underline}.headerbar3 .br5{clear:both;float:left;margin-left:1em;margin-top:-.22em}.headerbar3 #headsrch .delimited a.first,.headerbar3 #headsrch .delimited a.first:link{padding:0}.co5b9 .b3,.co5b9 .b4{display:none}.co6b7 .b3,.co6b7 .b4,.co6b7 .b5{display:none}.dhppromo1 .adfb{color:#666;text-align:center;width:100%}.linklist1{list-style-type:none;margin:0;padding:0}.linklist1 li{margin:0;padding:.25em 0 .2em 0}.linklist2{list-style-type:square;margin:0;margin-left:18px;padding:0}.linklist2 li{margin:0;padding:.25em 0 .2em 0}.linklist3{border-top:solid 1px #ace;border-bottom:solid 1px #ace;list-style-type:none;margin:0;padding:0}.linklist3 a{padding-left:.25em}.linklist3 li{border-bottom:solid 1px #ace;padding:.41em 0;width:100%}.linklist3 li.last{border-bottom:none}.linklist4{border:solid 1px #ace;list-style-type:none;margin:0;padding:0}.linklist4 a{display:block;padding:.41em .7em}.linklist4 a:active,.linklist4 a:active span,.linklist4 a:hover,.linklist4 a:hover span{text-decoration:none}.linklist4 li{border-bottom:solid 1px #ace}.linklist4 li.last{border-bottom:none}.linklist5{border:solid 1px #ace;list-style-type:none;margin:0;padding:0}.linklist5 a{border-left:solid .9em #ebf3fb;display:block;padding:.41em .7em;margin:0}.linklist5 a:active,.linklist5 a:active span,.linklist5 a:hover,.linklist5 a:hover span{text-decoration:none}.linklist5 li{border-bottom:solid 1px #ace;display:block}.linklist5 li.last{border-bottom:none}.linklist6{list-style-type:none;margin:0;padding:0}.linklist6 a{white-space:pre}.linklist6 li{float:left;padding:0;padding-right:1.4em}.linklist6 li.last{padding:0}.linklist7{list-style-type:none;margin:0;padding:0}.linklist7 a{white-space:pre}.linklist7 li{background:transparent url(../../i/62/668852d9828b8574a7a7aaf1c11470.gif) no-repeat right 50%;float:left;margin:0;margin-right:.7em;padding:0;padding-right:1.2em}.linklist7 li.last{background-image:none;margin:0;padding:0}.linklist8{list-style-type:none;margin:0;padding:0}.linklist8 a{white-space:pre}.linklist8 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 100% -9275px;float:left;margin:0;margin-right:.7em;padding:0;padding-right:.8em}.linklist8 li.last{background-image:none;margin:0;padding:0}.linklist10{list-style-type:none;margin:0;padding:0;white-space:nowrap}.linklist10 a{display:block;padding:.2em .7em}.linklist10 a:active,.linklist10 a:active span,.linklist10 a:hover,.linklist10 a:hover span{text-decoration:none}.linklist10 li{border:solid 1px #ace;border-right:none;display:block;float:left;padding:0;text-align:center}.linklist10 li.last{border-right:solid 1px #ace;margin-right:1px}.linklist11{border-bottom:solid 1px #ace;list-style-type:none;margin:0;padding:.45em 0;width:100%}.linklist11 li{border:solid 1px #ace;display:inline;margin:-1px 0 0 0;margin-right:2px;padding:.45em .7em;position:relative;white-space:nowrap}.linklist11 li.selected{border-bottom:solid 1px #fff;font-weight:bold;margin:0;margin-right:2px}.linklist12{list-style-type:none;margin:0;padding:0}.linklist12 li{display:block;float:left;margin:0;margin-right:1%;padding:.25em 0 .2em 0;width:44%}.linklist15{list-style-type:none;margin:0;padding:0}.linklist15 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9168px;display:block;float:left;margin:0 1% 0 0;padding:.25em 0 .2em 0;padding-left:9px;width:27%}.linklist17{list-style-type:none;margin:0;padding:0}.linklist17 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5674px;margin:0;padding:.12em 0;padding-left:19px}.linklist17 li .media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5787px;display:block;margin-left:-19px;padding-left:19px;padding-top:1px}.linklist18{list-style-type:none;margin:0;padding:0;text-align:right}.linklist18 a{white-space:pre}.linklist18 li{float:right;padding:0;padding-left:1.4em}.linklist18 li.last{padding:0}.linklist19{list-style-type:none;margin:0;padding:0;text-align:right}.linklist19 a{white-space:pre}.linklist19 li{background:transparent url(../../i/62/668852d9828b8574a7a7aaf1c11470.gif) no-repeat left 50%;float:right;margin:0;margin-left:.7em;padding:0;padding-left:1.4em}.linklist19 li.last{background-image:none;padding:0}.linklist20{list-style-type:none;margin:0;padding:0;text-align:right}.linklist20 a{white-space:pre}.linklist20 li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 8px -9172px;float:right;padding:0;padding-left:1.4em}.linklist20 li.last{background-image:none;padding:0}.linklist21{list-style-type:none;margin:0;padding:0;text-align:right}.linklist21 a{white-space:pre}.linklist21 li{border-left:solid 1px #ccc;float:right;margin:0 0 2px;margin-left:.7em;padding:0;padding-left:.617em}.linklist21 li.last{border-left:none;margin:0;padding:0}.pgopt1{float:right;clear:both}.pgopt1 .opt,.pgopt1 .signin,.pgopt1 .cpt{display:inline;float:left;font-size:83.33%;line-height:1em;height:1em;min-height:0}.pgopt1 ul,.pgopt1 li{display:block;margin:0;padding:0;text-align:right;list-style:none;float:right}.pgopt1 li li{background-color:#fff;display:block;width:100%;text-align:left}.pgopt1 li a span,.pgopt1 li a:link,.pgopt1 li a:visited,.pgopt1 li a:active,.pgopt1 li a:visited,.pgopt1 .js li:hover a:link,.pgopt1 .js li:hover a:visited,.pgopt1 .js li:hover a:hover,.pgopt1 .js li:hover a:active{text-decoration:none}.pgopt1 .pipe{border-left:solid 1px #999;margin-left:.41em;padding-left:.41em}.pgopt1 li.signin:hover a,.pgopt1 .js li#hover.signin a:hover{text-decoration:underline}.pgopt1 li:hover a,.pgopt1 li a:hover,.pgopt1 .js #hover a{border-bottom-color:#666}.pgopt1 ul li:hover ul,.pgopt1 ul.js #hover ul{left:auto;right:0;z-index:110}.pgopt1 li li:hover,.pgopt1 .js li li#hover,.pgopt1 li li.focus{background-color:#f1f1f1}.pgopt1 li:hover li a,.pgopt1 li#hover li a{border:none}.pgopt1 .opt div,.pgopt1 .cpt div{position:relative}.pgopt1 li ul,.pgopt1 ul.js li:hover ul{left:-999em;right:auto;z-index:auto}.pgopt1 .opt ul,.pgopt1 .cpt ul{background-color:#fff;border:solid 1px #666;position:absolute;font-size:120%;line-height:1.333em;top:1.333em}.pgopt1 li li a,.pgopt1 ul.js li li a{display:block;float:none;padding:.417em 1.417em;min-width:132px;white-space:normal}.pgopt1 li li a.checked{background:transparent url(../../i/ff/290e7f0b12fa8a201581c74c1ae75a.gif) no-repeat .417em center}.pgopt1 li.cpt li a,.pgopt1 ul.js li.cpt li a{padding:.417em 1em .417em 2.167em;min-width:110px}.pgopt1 li li .current{background:transparent url(../../i/ff/290e7f0b12fa8a201581c74c1ae75a.gif) no-repeat 1em .75em}.optuser1{float:right;clear:both}.optuser1 ul,.optuser1 li{display:block;list-style:none;margin:0;padding:0;text-align:right}.optuser1 li li{background-color:#fff;display:block;width:100%;text-align:left}.optuser1 li a h1,.optuser1 li a span,.optuser1 li a:link,.optuser1 li a:visited,.optuser1 li a:active,.optuser1 li a:visited,.optuser1 .js li:hover a:link,.optuser1 .js li:hover a:visited,.optuser1 .js li:hover a:hover,.optuser1 .js li:hover a:active{text-decoration:none}.optuser1 .user div{position:relative}.optuser1 .user div a,.optuser1 .user div h1,.optuser1 .user div span{font-size:117%;font-weight:normal}.optuser1 .user div ul a{font-size:100%;font-weight:normal}.optuser1 .user ul{background-color:#fff;border:solid 1px #666;position:absolute;top:1.417em}.optuser1 li:hover a,.optuser1 li a:hover,.optuser1 .js #hover a{border-bottom-color:#666}.optuser1 ul li:hover ul,.optuser1 ul.js #hover ul{left:auto;right:0;z-index:110}.optuser1 li li:hover,.optuser1 .js li li#hover,.optuser1 li li.focus{background-color:#f1f1f1}.optuser1 li:hover li a,.optuser1 li#hover li a{border:none}.optuser1 li ul,.optuser1 ul.js li:hover ul{left:-999em;right:auto;z-index:auto}.optuser1 li li a,.optuser1 ul.js li li a{display:block;float:none;padding:.417em .82em;min-width:132px;white-space:nowrap}.optuser1 li li a.checked{background:transparent url(../../i/ff/290e7f0b12fa8a201581c74c1ae75a.gif) no-repeat .417em center}.optuser1 li li.separator#hover,.optuser1 li li.separator:hover{background-color:transparent}.optuser1 li li.separator{font-size:10%;height:1px;line-height:1px;min-height:1px;position:absolute;z-index:100}.optuser1 li li.separator div{border:none;border-top:1px solid #666;height:1px;margin:0 10px}.srchhs{width:558px;padding:.33em 0 0}.srchhs ul{list-style:none outside none;padding:0 0 0 .313em;float:left;margin:0}.srchhs h3,.srchhs li a,.srchhs li a:active,.srchhs li a:hover,.srchhs li a:link,.srchhs li a:visited,.srchhs .manage,.srchhs .manage:active,.srchhs .manage:hover,.srchhs .manage:link,.srchhs .manage:visited{color:#666}.srchhs li,.srchhs h3{float:left}.srchhs .manage{float:right}.minihead .websearch2 .srchhs{margin-top:0;padding:.417em 0 .417em 0}.minihead .srchhs span{margin:0}.minihead .srchhs a,.minihead .srchhs h3,.minihead .srchhs li,.minihead .srchhs a:active,.minihead .srchhs a:hover,.minihead .srchhs a:link,.minihead .srchhs a:visited{color:#fff}.hlcp1 .pri .last{float:left}.hlcpm1 .hlcp1 .pri .piped,.hlcpm1 .hlcp1 .pri a,.hlcpm1 .hlcp1 .pri a:link,.hlcpm1 .hlcp1 .pri a:visited,.hlcpm1 .hlcp2 .pri .piped,.hlcpm1 .hlcp2 .pri a,.hlcpm1 .hlcp2 .pri a:link,.hlcpm1 .hlcp2 .pri a:visited{font-size:150%}.hlcpm2 .br .pri div{float:left;margin:auto 1.167em;min-width:189px;width:15.829em}.hlcpm2 .br .pri .first{margin:0 1.25em 0 0}.hlcpm2 .br .pri .last{margin:0 0 0 1.25em}.hlcpm2 .br .pri div div{margin:0;width:100%}.horoscopem1 .hrscop1 div div a{font-weight:normal}.hrscop1{border-bottom:solid 1px #e1e1e1;margin-bottom:10px;padding:0 0 10px 0}.hrscop1 h4{font-size:116.667%}.hrscop1 img{float:left;margin:auto 15px 0 auto}.hrscop1 span{float:left}.hrscop1 span a:link,.hrscop1 span a:visited,.hrscop1 span a:hover,.hrscop1 span a:active{color:#666}.hrscop1 div div{clear:both}.horoscopem1 .complex1 select{margin-right:8px;margin-top:.25em}.horoscopem1 .complex1 select.choose,.horoscopem1 .complex1 select option.choose{color:#666}.horoscopem1 .complex1 select option{color:#333}.horoscopem1 .complex1 label{display:none}.horoscopem1 .complex1 fieldset{margin:0;padding:0}#wrapper .hotmail1{margin:.667em .667em 1.667em .667em}#wrapper .hotmail1 .h2{margin:0}.hminbox1 .expands{display:none}.hminbox1 p{line-height:1.333em;margin:.833em 0}.hminbox1 table{border-collapse:collapse;border-bottom:solid 1px #ccc;width:100%}.hminbox1 caption,.hminbox1 thead{display:none}.hminbox1 td{border-bottom:solid 1px #e1e1e1;padding:6px 0;vertical-align:top}.hminbox1 td.rec{text-align:right}.hminbox1 td.msg{padding-left:27px}.hminbox1 tr.unread td.msg{font-weight:bold;background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5895px}.hminbox1 tr.unread td.rec span.date{font-weight:bold}.hminbox1 tr.read td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -6007px}.hminbox1 tr.replied td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -3808px}.hminbox1 tr.forwarded td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -3919px}.hminbox1 tr.attached td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4031px}.hminbox1 tr.msn td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4143px}.hminbox1 tr.courier td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4255px}.hminbox1 tr.prilow td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 5px -8844px}.hminbox1 tr.prihigh td.msg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 6px -8954px}.hminbox1 td.msg span,.hminbox1 td.rec span.time{display:block;font-weight:normal;margin-top:.5em}.hminbox1 td.rec span.date{color:#333}.hminbox1 td.rec span.time{color:#999;line-height:1.5em;white-space:nowrap}.hminbox1 ul.greet{border-bottom:solid 1px #ccc;margin:0;padding:.417em 0}.hminbox1 p.teaser{border-top:solid 1px #e1e1e1;margin-top:0;padding:.583em 0 0 0}.hminbox1 div.logo{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 0 -229px;float:none;height:30px;width:75px}.hminbox1 ul.actions{float:right;margin:.54em 0;padding:0}.hminbox1 div.hr{margin-top:0}.hminbox1 li{border-right:solid 1px #e1e1e1;display:block;float:left;margin:0;padding:0 1.083em}.hminbox1 li.last{border-right:none;padding-right:0}.hminbox1 li.first{padding-left:0}.hminbox1 ul.greet li{border-right:none;padding:0;width:50%}.hminbox1 ul.greet li.last{text-align:right;width:48%}.hminbox1 ul.actions li a.hide{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -7655px;padding-right:15px}.hminbox1 ul.actions li a.hide:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -7764px}.hminbox1 ul.actions li a.show{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -7873px;padding-right:15px}.hminbox1 ul.actions li a.show:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat right -7982px}.hminbox1 ul.greet li.first a,.hminbox1 ul.greet li.first a:link,.hminbox1 ul.greet li.first a:visited{color:#333;font-size:117%;line-height:1.43em}.hminbox1 ul.greet li.first a:visited,.hminbox1 ul.greet li.first a:active{color:#000}.hminbox1 td.msg a,.hminbox1 td.msg a:link,.hminbox1 td.msg a:visited{color:#333}.hminbox1 td.msg a:hover,.hminbox1 td.msg a:active{color:#000;cursor:pointer}.hminbox1 ul.greet li.first a span{font-size:86%;line-height:1.333em;padding:.25em}.hminbox1 p.error a,.hminbox1 p.error a:link,.hminbox1 p.error a:visited{color:#333}.hminbox1 p.error a:hover,.hminbox1 p.error a:active{color:#000}.hminbox1 ul.greet li a span,.hminbox1 ul.greet li a:link span,.hminbox1 ul.greet li a:visited span,.hminbox1 td.msg a span,.hminbox1 td.msg a:link span,.hminbox1 td.msg a:visited span{color:#333}.hminbox1 ul.greet li a:hover span,.hminbox1 ul.greet li a:active span,.hminbox1 td.msg a:hover span,.hminbox1 td.msg a:active span{color:#000}.hminbox1 ul a,.hminbox1 ul a:link,.hminbox1 ul a:visited{color:#666}.hminbox1 ul a:hover,.hminbox1 ul a:active{color:#333}#subhead .imgmod1 .linkedimg1{margin:.75em 1em}.menunavbar1{height:3.5em;margin-top:-1.667em;position:relative;width:100%}#page #nav{background-color:#fff}#page #nav .menunavbar1{margin-top:0}#page #nav .menunavbar1 ul.ntier1{background-color:#009ad9}.menunavbar1 ul,.menunavbar1 li{display:block;float:left;list-style:none}.menunavbar1 ul{margin:0;padding:0;width:100%}.menunavbar1 li{text-align:center}.menunavbar1 ul li a{display:block;outline:none}.menunavbar1 a:link,.menunavbar1 a:visited,.menunavbar1 a:active{color:#fff;display:block;font-size:100%;font-weight:bold;line-height:normal;padding:.25em .8em;text-decoration:none}.menunavbar1 .ntier2 li{height:1.8em}.menunavbar1 ul .ntier2 li a{padding:0 .5em;color:#666;display:block}.menunavbar1 .ntier1 .ntier2{background-color:#fff;padding:0 0 1.083em 0;padding-bottom:.3em;padding-top:.25em;width:81.667em}.menunavbar1 li ul,.menunavbar1 ul li:hover ul,.menunavbar1 ul li.last:hover ul{left:-999em;position:absolute}.menunavbar1 li li a:link,.menunavbar1 li li a:visited,.menunavbar1 li li a:hover,.menunavbar1 li li a:active{font-size:120%;font-weight:normal}.menunavbar1 li .t2font18 li a:link,.menunavbar1 li .t2font18 li a:visited,.menunavbar1 li .t2font18 li a:hover,.menunavbar1 li .t2font18 li a:active{font-size:150%}.menunavbar1 li .t2font14 li a:link,.menunavbar1 li .t2font14 li a:visited,.menunavbar1 li .t2font14 li a:hover,.menunavbar1 li .t2font14 li a:active{font-size:117%}.menunavbar1 ul.js li .showsm{display:block;z-index:10}.menunavbar1 ul.js li .hidesm{display:none;z-index:0}.menunavbar1 ul.js ul.notier li a{display:none}.menunavbar1 .ntier1 li:hover .ntier2,.menunavbar1 ul.js li.hover .ntier2,.menunavbar1 .ntier1 li.selected .ntier2{left:0;z-index:0}.menunavbar1 .js li:hover .ntier2{left:-999em}.menunavbar1 .ntier1 li:hover .ntier2{z-index:10}.menunavbar1 .ntier1 li:hover a,.menunavbar1 ul.js li.hover a,.menunavbar1 ul.ntier1 li.selected a{background-color:#fff;color:#666;outline:0}.menunavbar1 .js li:hover a{background-color:transparent;color:#fff}.menunavbar1 .js li:hover .ntier2 li a{background-color:#fff;color:#666}.menunavbar1 .ntier1 li .ntier2 li:hover a,.menunavbar1 ul.js li.hover .ntier2 li.focus a,.menunavbar1 .ntier1 li.selected .ntier2 li.highlighted a,.menunavbar1 .ntier1 li.selected .ntier2 li.focus a{color:#000;outline:0}.menunavbar1 .js li .ntier2 li:hover a{color:#666}.menunavbar1 .ntier2 li a{float:left}.menunavbar1 .ntier2 li img{margin:0 .833em 0 -.333em}.menunavbar2{height:3.75em;position:relative;width:100%}#doublerownav .menunavbar2{margin-top:-3.75em}#page #nav .menunavbar2{background-color:#009ad9}.menunavbar2 ul,.menunavbar2 li{display:block;float:left;list-style:none}.menunavbar2 ul{margin:0;padding:0;width:100%}.menunavbar2 li{text-align:center}.menunavbar2 ul li a{display:block;outline:none}.menunavbar2 a:link,.menunavbar2 a:visited,.menunavbar2 a:active{color:#fff;display:block;font-size:100%;font-weight:bold;line-height:normal;padding:.35em .8em .3em;text-decoration:none}.menunavbar2 .ntier1 li:hover a,.menunavbar2 ul.js li.hover a,.menunavbar2 ul.ntier1 li.selected a{outline:0;text-decoration:underline}.money2 .indices1 table{border-collapse:collapse;border-spacing:0;width:100%}.money2 .indices1 tbody tr td{border-top:solid 1px #e1e1e1;padding:.417em 0}.money2 .indices1 tbody tr.first td{border-top:none;padding-top:0}.money2 .simple8 div div{padding:2px;width:24.5em}.money2 .simple8 input.image{float:right;margin-top:0}.money2 .simple8 input.text{width:20em;float:left}.money2 .complex1 fieldset{padding:4px 7px 9px}.co3b2 .br{float:left}.co3b2 .br1{clear:both;display:block;float:none}.co3b2 .more{clear:left}.co3b2 .br .more{clear:none}.indices1 a,.indices1 a:link,.indices1 a:visited{color:#333}.indices1 a:hover,.indices1 a:active{color:#000}.indices1 caption,.indices1 thead{display:none}.indices1 td{color:#333;padding:0 0 .25em 0;line-height:1.5em;text-align:right}.indices1 td.neg{color:#c30505}.indices1 td.pos{color:#090}.indices1 td.siidx{padding:0 1.667em .25em 0;text-align:left}.indices1 .sitime{text-align:left;color:#999;padding-bottom:.417em}.poll1 .poll .bottom .question input{background:transparent url(../../i/c1/cc36ca69630adc1a2052edc7351a47.gif) no-repeat center center;border:none;color:#fff;font-weight:bold;font-size:117%;height:1.71em;padding-bottom:.1em}.poll1 .poll .bottom .question .votebtn:hover{cursor:pointer}.poll div.bottom .result{padding:.917em 0}.poll1 .result p{color:#333}.poll{margin-top:0;padding:0}.poll .question{clear:both;margin-bottom:.833em;width:100%}.poll .question input{float:left;margin:0 .8em 0 0;padding:0;vertical-align:middle}.poll .textonly .question input{margin:0 .2em 0 0;height:1em}.poll .imageonly .question input,.poll .textimage .question input{margin-top:1.4em}.poll .textonly .question{margin-bottom:.75em}.poll .textonly .question label{display:block;margin:0 0 0 2em}.poll .question img{vertical-align:middle}.poll .textimage .question img{float:left}.poll .textimage .question{height:4.42em;position:relative}.poll .textimage .question div{line-height:4.42em;overflow:hidden;padding:0 0 0 .917em}.poll .textimage .question div label{white-space:nowrap}.poll .textimage .result{clear:both;font-size:100%;margin-bottom:.833em}.poll .textimage li,.poll .imageonly li{height:100%;min-height:.0833em}.poll .textimage .result,.poll .imageonly .result{clear:both;margin:0 0 .833em}.poll .textimage .question,.poll .imageonly .question{margin:0 0 .833em}.poll form,.poll .result p{margin:0;padding:0}.poll ol{list-style-type:none;margin:0;padding:0}.poll h4{color:#000;font-size:116.667%;font-weight:bold;margin:0 0 .5em;text-align:left}.poll .column{display:inline-block;vertical-align:middle}.poll .last{clear:both}.poll .polllink{margin:.417em 0}.poll .resultbottom{margin-top:0}.poll .textonly .result{clear:both;padding-bottom:1.833em}.poll .textonly .result .ansitemleftcontainer{float:left;width:50%}.poll .textonly .result .ansitemleft{float:right}.poll .textonly .result .ansitemrightcontainer{float:left;width:50%;margin:-.083em 0 1em 0}.poll .resulttextcontainer{margin-left:8.25em}.poll .resulttextcontainer .forresulttext{display:inline-block}.poll .resulttextcontainer .imagevote .forresulttext{display:block}.poll .imageonly .resulttextcontainer{margin-left:15em}.poll .textimage .result img,.poll .imageonly .result img{float:left;margin-bottom:1em}.poll .forresulttext{color:#555;font-weight:normal}.poll .maxresulttext{font-weight:bold}.poll .textonly .forresulttext{line-height:1.083em}.poll .bar{background-color:#c1ecff;display:inline;float:right;height:2.083em;margin:0 .833em 0 .666em;width:.25em}.poll .barimage{background-color:#c1ecff;display:inline-block;float:left;height:22px;margin:0;margin-right:.666em;width:.25em;vertical-align:middle}.poll .maxvote{background-color:#00aeff}.poll .percentimagetype{font-size:100%;line-height:1.83em;vertical-align:middle}.poll .percenttexttype{color:#555;float:right;vertical-align:middle}.poll .textonly .percenttexttype,.poll .textonly .maxpercenttexttype{padding:.333em 0 0 0}.poll .maxpercenttexttype,.poll .maxpercenttexttype2{color:#555;float:right;font-weight:bold;vertical-align:middle}.poll .maxpercenttexttype2{color:#fff;padding:.333em}.poll .textonly .maxpercenttexttype2{float:left}.poll .maxpercentimagetype{font-size:100%;font-weight:bold;vertical-align:middle}.poll .textimage .percenttexttype{color:#555;float:left}.poll .imagevote{color:#666;font-size:100%;line-height:1.083em;margin:.417em 0 0 0}.poll .imagevote label{color:#555;font-size:100%}.poll .firstvote{margin:1em 0}.poll .backtovoting{text-align:right}.poll .result{clear:both;font-size:100%}.poll .bottom .question{border-top:solid 1px #e1e1e1;height:2.92em;line-height:1.5em;margin:1.25em 0 0 0;padding:.6em 0 0}.poll .skiptoresult{float:right;line-height:2.16em;padding-top:0}.poll .bottom .question input{padding:0 .5em;float:left;height:auto;margin:0}.poll .bottom .question,.poll .bottom .result{margin:0;width:100%}.poll .textonly .bottom .result{margin:0}.poll .bottom .result{border-top:solid 1px #e1e1e1;clear:both;display:inline-block;margin:0;padding:.917em 0;width:100%}.poll .additionlinks{border-top:solid 1px #e1e1e1;margin:.917em 0 0 0;padding:.917em 0 0 0}.poll .additionlinks #bingqueries,.poll .additionlinks #linktopic{font-weight:bold;margin:.5em 0 0 0}.poll .additionlinks ul{margin:.25em .41em .25em .167em;padding-left:1.0833em}.poll .prevnext{border-top:solid 1px #e1e1e1;clear:both;padding-top:.917em}.poll .prevnext .prev{float:left}.poll .prevnext .next{float:right}.poll .result .forresultvote{color:#666;font-size:91.667%}.poll .hide,.poll .bottom .hide{display:none}.poll .nobar{display:inline;float:right;height:2.083em;margin:0 .63em 0 0}.poll .sharethis,#facebooklink{font-weight:bold}.poll .shareable,.poll .sharethank{height:35px;margin:0 0 10px 0;border-bottom:solid 1px #e1e1e1}.poll .fbshare{float:right}.poll .fbshare a{background:0 -1674px url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat;padding:0 0 0 21px;text-decoration:none;line-height:21px;float:left}.poll .fbshare .sharetext{background:url(../../i/82/2bd976ca9d70382169ae2383041e02.gif) repeat 0 0;padding:1px 6px 7px 6px;color:#fff;font-weight:bold;font-family:sans-serif,tahoma;font-size:100%;display:block;float:left;height:14px}.poll .fbshare .shareendcap{background:0 -9486px url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat;padding:3px 1px 5px 0;height:14px;float:left;display:block}.promobar2{background-color:#3c7faf;color:#fff;margin:.5em 0 0;padding:0 .833em .5em .833em}.promobar2 a,.promobar2 a:link,.promobar2 a:visited,.promobar2 a:hover,.promobar2 a:active{background-color:#3c7faf;color:#fff}#wrapper .promobar2 .br2{float:right;text-align:right}#wrapper .promobar3{background-color:#fff;color:#666;margin:.5em 0 0;padding:0 .833em .5em .833em}.promobar3 a,.promobar3 a:link,.promobar3 a:visited,.promobar3 a:hover,.promobar3 a:active{color:#666}#wrapper .promobar3 .br2{float:right;text-align:right}#wrapper .w8 .co4b1 .br{margin:0;min-width:240px;width:20em}#wrapper .w8 .co4b1 .br1,#wrapper .w8 .co4b1 .br3{margin:0 12.333em 0 0}.co4b1 .br{float:left}.co4b1 .br2{clear:right}.co4b1 .br3{clear:left}.co4b1 .more{clear:both}.co4b1 .br .more{clear:none}.co4b1 .b3{display:block}.single1 .linklist22 li.first{border-top:none;padding-top:0}.single1 .orderedlist1{margin:0;margin-left:1.5em}.single1 .imglinkabslist23 li a{font-size:125%}.w4 .single1 .imglinkabslist17 li,.w4 .single1 .linkedimgabslist7 li,.w4 .single1 .linkedimglinklist14 li,.w4 .single1 .linkedimglinklist17 li{margin:0;margin-right:1.75em;min-width:86px;padding:0;width:7.167em}.w8 .single1 .imglinkabslist17 li,.w8 .single1 .linkedimgabslist7 li,.w8 .single1 .linkedimglinklist14 li,.w8 .single1 .linkedimglinklist17 li{margin:0;margin-right:2.16em;min-width:192px;padding:0;width:16em}.w4 .single1 .linklist15 li{margin:0;margin-right:1.75em;min-width:77px;padding:.25em 0;padding-left:.75em;width:7.167em}.w8 .single1 .linklist15 li{margin:0 1.333em 0 0;min-width:183px;padding:.25em 0 .5em 0;padding-left:.75em;width:15.25em}.w4 .single1 .imglinkabslist23 li,.w4 .single1 .linkedimglinklist18 li{margin:0;margin-right:1.667em;min-width:60px;padding:0;width:5em}.w8 .single1 .imglinkabslist23 li,.w8 .single1 .linkedimglinklist18 li{margin:0 2.25em 0 0;min-width:136px;padding:0;width:11.333em}.w4 .single1 .imglinkabslist17 li.last,.w4 .single1 .linkedimgabslist7 li.last,.w4 .single1 .linkedimglinklist14 li.last,.w4 .single1 .linkedimglinklist17 li.last,.w4 .single1 .linklist15 li.last,.w8 .single1 .imglinkabslist17 li.last,.w8 .single1 .linkedimgabslist7 li.last,.w8 .single1 .linkedimglinklist14 li.last,.w8 .single1 .linkedimglinklist17 li.last,.w8 .single1 .linklist15 li.last,.w4 .single1 .imglinkabslist23 li.last,.w4 .single1 .linkedimglinklist18 li.last,.w8 .single1 .imglinkabslist23 li.last,.w8 .single1 .linkedimglinklist18 li.last{margin:0}.single1 .linkedimglinklist16 a{text-align:left}.w4 .single1 .linkedimglinklist16 li{margin-right:.833em;width:12.083em}.single1 .linkedimglinklist16 li.last{margin-right:0}.w8 .single1 .linkedimglinklist18 a,.w8 .single1 .linkedimglinklist18 img{text-align:left}.linkedimgabslist7{list-style-type:none;margin:0;padding:0}.linkedimgabslist7 img{border:solid 1px #333;float:left;margin-bottom:3px;margin-right:6px}.linkedimgabslist7 li{display:block;float:left;margin-bottom:.9em;margin-right:1%;width:29%}.linkedimglinklist1{list-style-type:none;margin:0;padding:0}.linkedimglinklist1 a{display:block}.linkedimglinklist1 a:after{content:\".\";clear:both;display:block;height:0;visibility:hidden}.linkedimglinklist1 img{border:none;float:left;margin-bottom:.417em;margin-right:.417em}.linkedimglinklist1 li{margin-bottom:.917em}.linkedimglinklist1 li.last{margin-bottom:0}.linkedimglinklist1 a span{cursor:pointer;float:left;padding-top:.5em}.linkedimglinklist1 a span span{padding-top:0}.linkedimglinklist14{list-style-type:none;margin:0;padding:0}.linkedimglinklist14 a{display:block}.linkedimglinklist14 a:after{content:\".\";clear:both;display:block;height:0;visibility:hidden}.linkedimglinklist14 img{border:none;float:left;margin-bottom:.417em;margin-right:.417em}.linkedimglinklist14 li{display:block;float:left;margin-bottom:.917em;margin-right:0;position:relative;width:29%}.linkedimglinklist14 a span{cursor:pointer;display:block;padding-top:.5em}.linkedimglinklist16{display:block;list-style-type:none;margin:0;padding:0}.linkedimglinklist16 a,.linkedimglinklist16 img{display:block;margin:0 auto;text-align:center}.linkedimglinklist16 img{border:none;margin-bottom:.417em}.linkedimglinklist16 li{display:block;float:left;margin:0;margin-bottom:.917em;margin-right:0;position:relative;width:47%}.linkedimglinklist17{list-style-type:none;margin:0;padding:0}.linkedimglinklist17 a,.linkedimglinklist17 img{display:block;margin:0 auto;text-align:center}.linkedimglinklist17 img{border:none;margin-bottom:.417em}.linkedimglinklist17 li{display:block;float:left;margin-bottom:.917em;margin-right:0;position:relative;width:29%}.linkedimglinklist17 li.last{margin-right:3%}.linkedimglinklist18{display:block;list-style-type:none;margin:0;padding:0}.linkedimglinklist18 a,.linkedimglinklist18 img{display:block;margin:0 auto;text-align:center}.linkedimglinklist18 img{border:none;margin-bottom:.417em}.linkedimglinklist18 li{display:block;float:left;margin-bottom:.917em;margin-right:0;position:relative;width:21%}.orderedlist1{list-style-type:decimal;margin:0;margin-left:3em;padding:0}.orderedlist1 li{margin:0;padding:.25em 0 .2em 0}.scp1 p{line-height:1.5em;margin:0;padding:0}.scp1 p a,.scp1 p a:link,.scp1 p a:visited,.scp1 p a:hover,.scp1 p a:active{text-decoration:underline}.scp1 ul,.scp1 li{line-height:1.25em;list-style:none;margin:0;padding:0}.scp1 img,.scp1 .headline li.first{border:0}.scp1 img,.scp1 object{display:block;float:left}.scp1 .npane img{margin-bottom:1em}.scp1 span a,.scp1 span .media a{padding-bottom:.13em}.scp1 li span a{padding-bottom:.47em}.scp1 .media a,.scp1 .piped .media a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -5105px;font-size:100%;line-height:1.25em;padding-left:19px;padding-bottom:.13em}.scp1 .piped a{background:none;font-size:100%;line-height:1.25em;padding-bottom:.13em}.scp1 span .media a{padding-left:0}.scp1 span a,.scp1 span .media a,.scp1 .npane.n2 span.hlnotlinked,.scp1 .npane.n3 span.hlnotlinked{background:none;display:inline;font-size:250%;line-height:1.13em}.scp1 .npane.n3,.scp1 .npane.n3 span.hlnotlinked{margin:0 auto}.scp1 .npane.n3,.scp1 .npane.n2{text-align:center}.scp1 .linkedimg{text-align:left}.scp1 .npane.n2 li{padding:0 1em}.scp1 .npane.n2 .first{padding-left:0}.scp1 .npane.n2 .last{padding-right:0}.scp1 .npane li{margin:0 auto;text-align:center}.scp1 .headline ul{display:block;padding-left:2.08em}.scp1 .npane li,.scp1 .headline object{float:left}.scp1 .headline img{float:left}.scp1 .richtext,.scp1 .headline{text-align:left}.scp1 .headline ul,.scp1 div{float:none}.scp1 .headline li{border-top:solid 1px #e1e1e1;padding:.42em 0}.scp1 .headline li.last{border-bottom:solid 1px #e1e1e1}.scp1 .npane a{clear:left}.scp1 .npane li a{display:block}.scp1 .form{float:none;margin-bottom:0;margin-top:0;padding:.2em 0 0 2.1em;position:relative}.scp1 .form div{height:2em;padding:0}.scp1 .form div div{border:solid 1px #bcbcbc;clear:none;float:left}.scp1 .form input.image{margin:1px 0 0 0}.scp1 .form input.text{border-width:0;font-size:100%;line-height:1.25em;outline:none;padding:4px 3px 0 0;vertical-align:top}.scp1 .form label{color:#666;display:block}.tmxscpcont{height:309px;overflow:hidden;position:relative;width:628px}.tmxscpcont ul.slides{list-style:none;margin:0;padding:0}.tmxscpcont ul.slides li.slide{float:left;height:309px;width:628px}.tmxscpcont li{background-color:#000}.tmxscpcont .pgcarpc img,.tmxscpcont .pgcarnc img{cursor:pointer;position:absolute;z-index:1}.tmxscpcont .pgcarnc img{right:0}.tmxscpcont .pgcarpc,.tmxscpcont .pgcarnc{display:none}.hover .pgcarpc,.hover .pgcarnc{display:block}.tmxscpcont .linkedimg{position:absolute}.tmxscpcont .linkedimg span{background:transparent url(../../i/84/9d29f3d4952417f5cfbe61e066958b.png);bottom:0;display:block;margin-bottom:5px;overflow:hidden;padding:.5em 0;position:absolute;width:100%}.tmxscpcont .linkedimg span a{color:#fff;display:block;font-size:200%;margin:0 .417em}.tmxscpcont .scp1 .headline ul{margin-right:3.333em;padding-left:1.667em}.tmxscpcont .scp1 .headline,.tmxscpcont .scp1 .headline a{color:#fff}.tmxscpcont .scp1 .headline li{border:none}.tmxscpcont table{border-collapse:collapse;border-left:1px solid #000;margin-top:-7px;position:absolute;width:628px}.tmxscpcont table td{background-color:#507abc;background:rgba(0,126,176,.5);border:1px solid #000;border-left:none;height:5px;padding:0}.tmxscpcont table td.current{background-color:#007eb0}.tmxscpcont .scp1 .headline li.first{padding-top:1.667em}.tmxscpcont .scp1 .headline span a{font-size:216.6%}.tmxscpcont .scp1 .headline .richtext p{font-size:133.3%;line-height:1.222em}.tmxscpcont .slides .video{background:0 -611px transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat;display:block;height:23px;margin:-40px 0 0 596px;width:22px}.tmxscpcont .slides .videobig{background:0 -350px transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat;display:block;height:34px;margin:-56px 0 0 260px;position:absolute;width:34px}.tmxscpcont .scp1 div.npane,.tmxscpcont .scp1 .npane li{text-align:left}.tmxscpcont .scp1 .npane a{color:#fff}.tmxscpcont .scp1 .npane span a{display:block;font-size:216.6%;padding:.769em .577em .577em}.tmxscpcont .scp1 .n3 span a{padding:.769em .577em}.tmxscpcont .scp1 .npane li.first{margin-left:1.25em}.tmxscpcont .scp1 .n3 li{margin-left:1.166em}.tmxscpcont .scp1 .npane img{margin-bottom:.25em}.tmxscpcont .scp1 .npane li a{font-size:133.3%;line-height:24px}.tmxscpcont .scp1 div.n2 li{margin-left:1.5em;padding:0}.sponad2 .richtext p{color:#666;line-height:normal;margin:0}.sponad2 .richtext p strong{color:#333}#wrapper .w4 .co2b1.sponad2 .br{margin:0;min-width:0;width:auto}#wrapper .w4 .co2b1.sponad2 .br1{margin:0}#wrapper .w8 .co2b1.sponad2 .br{margin:0;min-width:0;width:auto}#wrapper .w8 .co2b1.sponad2 .br1{margin:0}.superbanner1{text-align:center}#wrapper .superbanner1 .br{margin:.5em auto;width:auto}.superbanner1 .advertisement div{margin:0 auto}.triple1 .headlinelist3 ul{padding-left:0}.w8 .triple1 .linkedimglinklist8 li{margin:0;margin-right:2.333em;min-width:136px;padding:0;width:11.333em}.w8 .triple1 .linkedimglinklist8 li.last{margin:0}.w4 .triple1 .linkedimglinklist8 li{margin:0;min-width:60px;padding:0 6.67% 0 0;width:5em}.w4 .triple1 .linkedimglinklist8 li.last{margin:0;padding:0}.w8 .triple1 .imglinkabslist7 li{margin:0;margin-right:2.333em;min-width:300px;padding:0;width:25em}.w8 .triple1 .imglinkabslist7 li.last{margin:0}.w4 .triple1 .imglinkabslist7 li{margin:0;margin-bottom:.833em;margin-right:.75em;min-width:140px;padding:0;width:11.667em}#wrapper .w8 .co3b1 .br{margin-bottom:.833em;min-width:192px;width:16em}#wrapper .w8 .co3b1 .br2{margin:0 2.083em .833em 2.083em}#wrapper .w8 .co3b2 .br2,#wrapper .w8 .co3b2 .br3,#wrapper .w8 .co3b3 .br1,#wrapper .w8 .co3b3 .br2{margin:0;min-width:300px;width:25em}#wrapper .w8 .co3b2 .br2,#wrapper .w8 .co3b3 .br1{margin:0;margin-right:2.333em}#wrapper .w4 .co3b1 .br{margin:0;min-width:86px;width:7.167em}#wrapper .w4 .co3b1 .br2{margin:0 1.75em}#wrapper .w4 .co3b2 .br2,#wrapper .w4 .co3b2 .br3,#wrapper .w4 .co3b3 .br1,#wrapper .w4 .co3b3 .br2{margin:0;min-width:140px;width:11.667em}#wrapper .w4 .co3b2 .br2,#wrapper .w4 .co3b3 .br1{margin:0;margin-right:1.667em}#area2 .ro .w4 .co3b2 .br2,#wrapper .w8 .ro .w4 .co3b2 .br2{min-width:100px;width:8.333em}#area2 .ro .w4 .co3b2 .br3{min-width:180px;width:14.96em}.triple1 .br3 .simple8 div div{padding:2px;width:14.5em}.triple1 .br3 .simple8 input.image{float:right;margin-top:0}.triple1 .br3 .simple8 input.text{float:left;width:10em}.co3b1 .br{float:left}.co3b1 .more{clear:left}.co3b1 .br .more{clear:none}.co3b3 .br{float:left}.co3b3 .br3{clear:both;display:block;float:none}.co3b3 .more{clear:both}.imglinkabs5 a,.imglinkabs5 img{display:block;margin:0 0 3px 0}.imglinkabs5 div.richtext a{display:inline}.compare1 .richtext p{margin:0}.compare1 .complex1 fieldset{padding:0 7px 9px 0}.dhpright1 .link{font-weight:bold;text-align:right}.ebay1 .simple8 input.text{width:11.25em}.eltwt .hr{display:none}.eltwt .fbact,.eltwt .twbtn{float:right}.eltwt .fbact{margin-right:1.083em}.eltwt .fbact span{overflow:hidden!important}.headerbar_us{height:9.833em;min-height:118px}.headerbar_us a,.headerbar_us a:link,.headerbar_us a:visited,.headerbar_us a:hover,.headerbar_us a:active,.headerbar_us label,.headerbar_us span,.headerbar_us a:hover span,.headerbar_us .br2 .welcome{color:#666}.headerbar_us div.br1,.headerbar_us div.br5,.headerbar_us div.br6{float:left}.headerbar_us .br1 .linklist1 li{float:left;padding:0 1.5em .2em 0}.headerbar_us div.br2,.headerbar_us div.br3{float:right}.headerbar_us .pgopt1{float:right;margin-right:.5em}.headerbar_us .br4{clear:both;width:100%;margin-top:-.25em}.headerbar_us .br5{min-width:208px;width:17.33em}.headerbar_us .br3 a,.headerbar_us .br3 a:link,.headerbar_us .br2 .welcome{font-weight:bold}.headerbar_us .optuser1{float:left}.higheader_uk div.br2{float:right}.higheader_uk .websearch2 input.text{width:317px}.higheader_uk .br4{min-width:195px!important;width:16.3em!important}.higheader_uk .br6{min-width:18.58em!important;width:258px!important}.higheader_uk .linkedimglinklist8 li.first{padding-left:1.5em}.higheader_uk .linkedimglinklist8 li{padding-left:1em}.higheader_uk .linkedimglinklist8{float:right;margin-top:15px}.higheader_uk .linkedimglinklist8 li a img{margin-bottom:0}.higheader_uk .linkedimglinklist8 span{font-size:10px}.higheader_uk .linklist1 li{float:left;padding-right:1.5em}.higheader_uk a,.higheader_uk a:link,.higheader_uk a:visited,.higheader_uk a:hover,.higheader_uk a:active,.higheader_uk label,.higheader_uk span,.higheader_uk a:hover span,.higheader_uk .br2 .welcome{color:#666}.higheader_uk .m2{margin-bottom:10px}.higheader_uk .m15 .optuser1,.higheader_uk .m2 .pgopt1{margin-right:1.3em}.higheader_uk{background:#fff url(../../i/2d/1db850e671ac9a39751a1482909ea6.jpg) repeat-x center top;height:auto;min-height:118px}.higheader_uk .websearch2{width:504px}.higheader_uk .websearch2 .opt,.higheader_uk .websearch2 .scopes{font-size:100%}.horoscopem3 .complex1 .image{float:right;margin:0}.horoscopem3 .complex1 select{width:18em;margin-top:.333em}.horoscopem3 .complex1 fieldset{margin:0;padding-left:0;padding-right:0}.searchbar2 .hsb .websearch2 .search,.ls1 .hsb .websearch2 .search,#infopane_hops.hsb form>div,#shopping .hsb,#maps .hsb form,#stk .hsb{padding:.25em}#head .headerbar_us .hsb{padding-left:.17em}.w4 .shopping2 .imglinkabslist7 li{margin:.833em .417em .417em 0;min-width:144px;padding:0;width:12em}.shopping2 .linklist9 li{margin-bottom:0;margin-right:.333em;padding-right:.333em}.shopping2 .linklist9 li.last{margin:0;padding:0}.shopping2 .imglinkabslist7 .richtext{line-height:1.167em}.shopping2 .imglinkabslist1 .richtext a,.shopping2 .imglinkabslist1 .richtext a:link,.shopping2 .imglinkabslist1 .richtext a:visited,.shopping2 .imglinkabslist7 .richtext a,.shopping2 .imglinkabslist7 .richtext a:link,.shopping2 .imglinkabslist7 .richtext a:visited{text-decoration:none}.shopping2 .imglinkabslist1 .richtext a:hover,.shopping2 .imglinkabslist1 .richtext a:active,.shopping2 .imglinkabslist7 .richtext a:hover,.shopping2 .imglinkabslist7 .richtext a:active{text-decoration:underline}.shopping2 .imglinkabslist7 img{margin-right:8px}.shopping2 .borderimage .imglinkabslist1 img,.shopping2 .borderimage .imglinkabslist7 img{border:solid 1px #000}.shopping2 .imglinkabslist7 div.richtext{margin-left:58px;margin-top:.167em}.shopping2 .borderimage .imglinkabslist7 div.richtext{margin-left:60px}.shopping2 div.hr{margin-top:.417em}.shopping2 .simple8 div div{border:1px solid #adaeb2;height:25px;padding:2px 3px 1px 6px}.shopping2 .simple8 input.text{color:#666;width:14.5em}.shopping2 .simple8 input.image{margin:0 0 2px}#themedheader{position:relative;z-index:50}#themedheader .themehead{position:absolute;left:0;top:0}#themedheader .headerbar3 #head1 .br,#themedheader .headerbar3 #head2 .br,#themedheader .headerbar3 .fbtw .br,#themedheader .headerbar3 .br2,#themedheader .headerbar3 .br3,#themedheader .headerbar3 .br4,#themedheader .headerbar3 .br5{position:relative;z-index:1}#themedheader .headerbar3 #head2 .br{z-index:50}#themedheader .headerbar3 #head1 .br3{z-index:51}.co3b5 .br{float:left}.co3b5 .br2{clear:right;float:right}.co3b5 .br3,.co3b5 .more{clear:left}.co3b5 .br .more{clear:none}.co3b6 .br{clear:right;float:right}.co3b6 .br1{float:left}.co3b6 .more{clear:both;float:none}.co3b6 .br .more{clear:none}.co4b2 .b3{display:none}.co4b2 .br{float:left}.co4b2 .more{clear:both}.co4b2 .br .more{clear:none}.co4b8 .b3{display:none}.co4b8 .br{float:left}.co4b8 .br3,.co4b8 .br4{clear:both;display:block;float:none}.co4b8 .more{clear:both}.co6b1 .b3,.co6b1 .b5{display:none}.co6b1 .b4{display:block}.co6b1 .br{float:left}.co6b1 .br4{clear:left}.co6b1 .more{clear:left}.co6b1 .br .more{clear:none}.co6b27 .b3,.co6b27 .b4,.co6b27 .b5{display:none}.co6b27 .br{float:left}.co6b27 .br3{clear:both;display:block;float:none}.co6b27 .more{clear:both}.nmodal .dialog{position:absolute;text-align:center;z-index:100000}.nmodal .dialog iframe{border:0;margin:0 auto;overflow:hidden;position:relative}.modal .dialog{background:transparent url(../../i/09/4ebdf19a1ce03cce12e11926256422.gif) repeat scroll 0 0;height:100%;left:0;margin:0;width:100%;position:absolute;text-align:center;top:0;z-index:100000}.modal .dialog iframe{border:0;margin:0 auto;overflow:hidden;position:relative;top:5em}.bnws1{font-size:133%;line-height:normal;padding:.625em .75em;text-align:center;margin:0 0 .667em}.bnws1,.bnws1 .richtext a,.bnws1 .richtext a:link,.bnws1 .richtext a:visited,.bnws1 .richtext h4,.bnws1 .richtext p,.bnws1 .richtext span.custom{background-color:#a30303;color:#fff;font-weight:bold}.bnws1 .richtext h4{display:inline;margin:0 1em 0 0}.bnws1 .richtext p{display:inline;margin:0}.ccup1{font-weight:bold}.ccup1 ul{margin:0;padding:0}.ccup1 li{float:left;list-style:none}.ccup1 .udiv{background-color:#e9e9e9;color:#333;height:3.667em}.ccup1 .udiv .ad,.ccup1 .udiv .idiv{float:left}.ccup1 .udiv .ad ul li{height:3.667em;margin-right:.25em}.ccup1 .udiv .ad ul li.last{margin:0}.ccup1 .fl{float:left}.ccup1 a:hover,.ccup1 a:hover span{text-decoration:none}.ccup1 .t1 div,.ccup1 .t2 div{height:2.917em;margin:.417em .833em .333em;width:2.917em}.ccup1 .t1 div,.ccup1 .t1 span{float:left}.ccup1 .t2,.ccup1 .t2 div,.ccup1 .t2 span{float:right}.ccup1 .t1 span,.ccup1 .t2 span{margin-top:1.333em}.ccup1 #gd{height:3.667em;text-align:center;width:18.167em}.ccup1 #ts1,.ccup1 #ts2{background-color:#006091;color:#fff;font-size:2em;height:1.25em;line-height:1.25em;margin:.333em .417em .25em;width:1.458em}.ccup1 .gs{height:2.5em;margin:.667em 0 .25em}.ccup1 .gs1{height:1.5em;line-height:1.5em;width:9em}.ccup1 #gtime{font-size:1.5em}.ccup1 #rf{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -3465px;height:1.333em;padding-bottom:.25em;position:absolute;margin-left:.25em;width:1.25em}.ccup1 #rf:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -3584px}.ccup1 #gt{font-weight:normal;height:1em;line-height:1em}.ccup1 .ldiv{background-color:#006092;color:#fff;clear:left;height:1.833em;line-height:1.833em}.ccup1 .ldiv div{float:left;padding:0 .833em}.ccup1 .ldiv div a,.ccup1 .ldiv div a:hover span{color:#fff}.ccup1 .lh{background-color:#017ab8;text-align:center}.sps0 .idiv{width:52.333em}.sps0 .idiv .t1{width:17.083em}.sps1 .idiv{width:48.667em}.sps1 .idiv .t1{width:15.25em}.sps2 .idiv{width:44.75em}.sps2 .idiv .t1{width:13.292em}.sps3 .idiv{width:40.833em}.sps3 .idiv .t1{width:11.333em}.sps4 .idiv{width:41.333em}.sps4 .idiv .t1{width:11.583em}.ccup1 .ldiv .btn{padding:0 .667em 0 0;float:right;height:1.833em;width:3.92em}.ccup1 .btn .up{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -734px;padding-right:.25em;display:block;float:left;height:1.833em;width:1.833em}.ccup1 .btn .down{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -856px;display:block;float:left;height:1.833em;width:1.833em}.ccup1 .lp li{display:none}.ccup1 .lp li.selected{display:block}#wrapper .minihead{background-color:#009ad9;left:0;padding-left:0;padding-right:0;position:fixed;top:0;width:100%;z-index:60}#wrapper .minihead #tg{background:none transparent scroll repeat 0% 0%}#wrapper .minihead .ro .ce{float:none;margin-left:auto;margin-right:auto}.minihead .headerbar_us{height:auto;margin:0 auto;min-height:0;padding-top:15px}.minihead .headerbar_us .br1{display:none}.minihead .headerbar_us .br2,.minihead .headerbar_us .br3{float:right}.minihead .headerbar_us .br3 a,.minihead .headerbar_us .br3 a:link,.minihead .headerbar_us .br3 a:visited{color:#fff;font-weight:normal}.minihead .headerbar_us .br4{clear:none;margin-top:-10px;min-height:5.333em;min-width:160px;padding-bottom:0;padding-top:0;width:14em}.minihead .headerbar_us .br4,.minihead .headerbar_us .br5{float:left}.minihead .headerbar_us .br4 a{display:block}.minihead .headerbar_us .br4 img{margin-bottom:5px}.minihead .closeable .headerbar_us .br3{margin:25px -13px 0 0}.hmlogout1 .logo{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 4px;float:none;height:30px;width:90px}.hmlogout1 .actions{float:right;margin:.54em .5em;padding:0}#wrapper .hml1 h2{background-color:#0072c6;border:none;color:#fff;height:1.18em;margin-bottom:.66em;padding:.4em 0 1.6em}#wrapper .hml1 h2 span{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 3px -484px;font:1.5em segoe ui;margin-left:.5em;padding:0 0 .3em 2em}#ca2_hml p{font-size:1em;margin:.08em 0 .15em 0}#ca2_hml div.hr{margin-top:-.03em}.hml1,#hml{display:none}.hmlogoutslide{overflow:hidden;position:absolute;width:53.667em;z-index:50}.logoutbanner{position:relative}.opq{background-color:#fff}#hmlbanner{background-color:#0072c6;color:#fff;display:none;font:1.5em segoe ui;height:1.7em;margin-bottom:.65em;margin-top:.5em;padding-left:.5em;padding-top:.4em}#hmlbanner .content{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 3px -484px;float:left;padding:0 0 .25em 2.15em;margin-top:-.1em}.closebtn{cursor:pointer;float:right;font-size:.66em;font-family:segoe ui semibold;margin-right:1.25em;padding-top:.4em}.sign{background-color:#fff;color:#0072c6;float:right;font-size:.77em;height:1.95em;margin-right:.4em;margin-top:-.1em;width:6.8em}.sign a{color:rgb(0,114,198);font-family:segoe ui;padding:.2em 1.76em .4em;display:block}.closebtn a,.closebtn a:active,.closebtn a:hover,.closebtn a:link,.closebtn a:visited{color:#fff}.popup2{position:absolute;z-index:100000000}.popup2 .tar{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -7408px;height:2.083em;position:relative;width:.833em;z-index:10}.popup2 .tar.ahd{background:none;display:none}.popup2 .fl{height:.833em;width:2.083em}.popup2 .bm{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -1334px}.popup2 .rt{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -7533px}.popup2 .tp{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -1444px}.popup2 .shd{background-color:#7f7f7f}.popup2 .tbox{background-color:#fcfdb9;border:solid 1px #666;color:#333;font:normal 83.33% arial;line-height:1.4em;position:relative;width:234px;right:.3em;bottom:.3em;padding:.4em .7em .4em}.popup2 .tbm{font-size:130%;margin-top:-.454em}.popup2 .th2{font-size:140%;padding-top:.167em}.popup2 .bg{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll -1px -380px;padding-left:3.75em}.popup2 .tlist{font-size:120%;list-style-type:none;margin:0;padding:.5em 0 0}.popup2 .tbm a{text-decoration:underline}.popup2 .tcls{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -8530px;height:.8em;width:.8em;margin-top:.3em;padding-right:1px}.popup2 .th2,.popup2 .tnav{float:left}.popup2 .tcls,.popup2 .tlnk{float:right}.popup2,.popup2 .tlist li,.popup2 .tprev,.popup2 .tpipe{display:none}.popup2 .tcls,.popup2 .tlist li.show{display:block}.popup2 .thd a.tcls:hover{text-decoration:none}.popup2 .tbm .tnav,.popup2 .tbm .tlnk{margin-top:3px}.awardlist1{background-color:#133357;border:1px solid #0d1f35;height:6.583em;min-height:79px;min-width:630px;width:52.5em}.awardlist1 .container{float:left;height:6.583em;min-width:524px;overflow:hidden;position:relative;width:43.83em}.awardlist1 .prev{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4376px;cursor:pointer;float:left;height:4.5em;margin:1.083em 0;width:1.25em}.awardlist1 .prev:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4530px}.awardlist1 .next{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4684px;cursor:pointer;float:right;height:4.5em;margin:1.083em 0;width:1.25em}.awardlist1 .next:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -4838px}.awardlist1 .image{float:left;height:79px;width:73px}.awardlist1 .slide{background-color:#133357;color:#fdbe00;font:bold 1em arial;left:44.583em;position:absolute;width:100%}.awardlist1 .first{left:0}.awardlist1 .slide>div{border-right:1px solid #5f98b8;float:left;font:bold 1em arial;height:5.667em;margin:.5em 0 0 0;padding:0 0 0 .917em;width:7.5em}.awardlist1 .slide div a,.awardlist1 .slide div a:link{color:#fdbe00;font:bold 1.333em arial;line-height:1.2em}.awardlist1 .slide ul{float:left;height:6.833em;list-style:none;margin:0;min-width:420px;padding:.5em 0 0 .25em;width:35em}.awardlist1 .slide ul li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 5px -9069px;float:left;line-height:1.167em;margin:0;padding:0 0 .417em 1.5em;width:10em}.awardlist1 .slide ul li.exwide{width:auto}.awardlist1 .slide ul li.top{margin-top:-.833em}.awardlist1 .slide ul li.left{margin-left:-3.16em}.awardlist1 .slide ul .win{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 0 -1100px}.awardlist1 .slide ul .win a,.awardlist1 .slide ul .win a:link,.awardlist1 .slide ul .win a.rel,.awardlist1 .slide ul .win a.rel:link{color:#fdbe00}.awardlist1 .slide ul li a,.awardlist1 .slide ul li a:link,.awardlist1 .slide ul li .nolink{color:#fff;font:bold 1em arial}.awardlist1 .slide ul li .rel,.awardlist1 .slide ul li a.rel,.awardlist1 .slide ul li a.rel:link{color:#fff;display:block;font:normal 1em arial;font-style:italic}.awardlist1 .slide ul li.win div{color:#fdbe00}.chatter .linklist1{background-color:#dbe0e6;border:1px solid #0d1f35;clear:both;height:1.583em;min-height:19px;min-width:630px;overflow:hidden;padding:0;position:relative;width:52.5em}.chatter .linklist1 a,.chatter .linklist1 a:link{color:#13223c}.chatter .linklist1 li{font:bold 1em arial;display:none;height:1.583em;padding:.25em 0 0 .667em;position:absolute;left:73px;overflow:hidden;top:0}.chatter .linklist1 .first{border-right:1px solid #0d1f35;display:block;font:bold 11px arial;left:0;margin:0;padding:4px 0 0;text-align:center;width:72px}.chatter .linklist1 .first+li{display:block}.carlillist1 .carview{float:left;height:10.833em;overflow:hidden;width:48.667em}.carlillist1 ul{list-style-type:none;margin:0;padding:0}.carlillist1 li{float:left;height:10.833em;margin:0 .417em 0 .417em;text-align:left;width:11.333em}.carlillist1 li a{float:left}.carlillist1 li a:hover{text-decoration:none}.carlillist1 li a,.carlillist1 li a img{margin:0 auto;display:block}.carlillist1 li a img{border:none;margin-bottom:.25em}.carlillist1 li a span{display:block;text-align:center}.carlillist1 .carpc,.carlillist1 .carnc{float:left;height:10.833em;width:1.25em}.carlillist1 .carpc{margin-right:.583em;text-align:left}.carlillist1 .carnc{margin-left:.583em;text-align:right}.carlillist1 .carcont{height:10.833em}#wrapper .carlillist1 ul.llmsgleft .llmsg{margin-left:1em;text-align:left}#wrapper .carlillist1 ul.llmsgright .llmsg{margin-right:1em;text-align:right}.carlillist1 .ad .choice{margin-top:.5em}.carlillist1 .ad .choice img{float:left}.carlillist1 .ad .label{margin-top:.2em}.carlillist1 .ctalign li a,.carlillist1 .ctalign .ad .choice img{float:none}.carlillist1 .ctalign li a span{text-align:center}.carlillist1 .ralign li a,.carlillist1 .ralign .ad .choice img{float:right}.carlillist1 .ralign li a span{text-align:right}.ssprev1{min-width:628px;width:52.333em}.ssprev1 .slides,.ssprev1 .sslides{height:25.8em;min-height:306.8px;min-width:628px;width:52.33em;background-color:white}.ssprev1 .sslides{height:17.66em;min-height:212px;margin-left:0;overflow:hidden}.ssprev1 .slides ul,.ssprev1 .sslides ul{list-style:none;margin:0;padding:0}.ssprev1 .slides .headline ul,.ssprev1 .sslides .headline ul{padding-left:1.66em}.ssprev1 .slides .headline li,.ssprev1 .slides .bigimage li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6125px;line-height:1.166em;padding:.1666em 0 .583em 1.5833em}.ssprev1 .slides .headline li.media,.ssprev1 .slides .bigimage li.media,.ssprev1 .sslides .headline li.media,.ssprev1 .sslides .bigimage li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6239px;font-size:100%}.ssprev1 .slides .bigimage .blackbg li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6353px;line-height:1.166em;padding:.1666em 0 .583em 1.5833em}.ssprev1 .slides .bigimage .blackbg li.media,.ssprev1 .sslides .bigimage .blackbg li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6467px}.ssprev1 .slides .headline li.first,.ssprev1 .slides .bigimage li.first,.ssprev1 .sslides .headline li.first,.ssprev1 .sslides .bigimage li.first{background-image:none;line-height:normal;padding:0}.ssprev1 .slides .headline li.first span a .ssprev1 .slides .bigimage li.first span a{line-height:1.2333em;padding:0 0 .916em}.ssprev1 .slides .headline h3,.ssprev1 .slides .bigimage h3{font-size:100%;padding-bottom:.416em;padding-top:.0833em}.ssprev1 .slides .headline li.first .richtext p a,.ssprev1 .sslides .headline li.first .richtext p a{padding:0}.ssprev1 .slides .headline .sub,.ssprev1 .slides .bigimage .sub,.ssprev1 .sslides .headline .sub,.ssprev1 .sslides .bigimage .sub{padding-left:1.667em}.ssprev1 .slides .headline .sub img,.ssprev1 .slides .bigimage .sub img,.ssprev1 .sslides .headline .sub img,.ssprev1 .sslides .bigimage .sub img{margin-right:.8333em;padding-top:.25em}.ssprev1 .slides .headline .sub span a,.ssprev1 .slides .bigimage .sub span a,.ssprev1 .sslides .headline .sub span a,.ssprev1 .sslides .bigimage .sub span a{font-weight:bold}.ssprev1 .headline .richtext,.ssprev1 .bigimage .richtext{margin:0 0 .0833em;padding:0 0 1.166em;position:relative}.ssprev1 .richtext p{display:inline;line-height:1.333em;margin:0}.ssprev1 .richtext p a,.ssprev1 .richtext p a:link,.ssprev1 .richtext p a:visited,.ssprev1 .richtext p a:hover,.ssprev1 .richtext p a:active{text-decoration:underline}.ssprev1 .slides .n2 img,.ssprev1 .sslides .n2 img{margin-bottom:.59em}.ssprev1 .slides .n3 img,.ssprev1 .sslides .n3 img{margin-bottom:.5em}.ssprev1 .slides .hl a,.ssprev1 .sslides .hl a{display:block;font-size:250%}.ssprev1 .slides .hl a{padding:.25em 0 0}.ssprev1 .sslides .hl a{padding:0 0 .571em}.ssprev1 .linkedimg,.ssprev1 .slides .npane,.ssprev1 .sslides .npane{text-align:center}.ssprev1 .slides .n2 li a,.ssprev1 .sslides .n2 li a{font-size:116.6%}.ssprev1 .slides .n2 .first,.ssprev1 .slides .n3 li,.ssprev1 .sslides .n2 .first,.ssprev1 .sslides .n3 li{margin-right:.916em}.ssprev1 .slides .n3 li.last,.ssprev1 .sslides .n3 li.last{margin-right:0}.ssprev1 .slides .n3 li.first,.ssprev1 .sslides .n3 li.first{margin-right:.833em}.ssprev1 .slides .opt,.ssprev1 .sslides .opt{font-weight:bold;margin-top:.5em}.ssprev1 .slides .linkedimg div.media a,.ssprev1 .sslides .linkedimg div.media a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6241px;padding-left:1.5em}.ssprev1 .slides,.ssprev1 .slides .headline img,.ssprev1 .slides .bigimage img,.ssprev1 .slides .npane li,.ssprev1 .slides .npane,.ssprev1 .sslides,.ssprev1 .sslides .headline img,.ssprev1 .sslides .bigimage img,.ssprev1 .sslides .npane li,.ssprev1 .sslides .npane{float:left}.ssprev1 .slides div div.pco,.ssprev1 .sslides div div.pco{display:none}.ssprev1 .slides img,.ssprev1 .ivideo object,.ssprev1 .slides div.show div.pco,.ssprev1 .sslides img,.ssprev1 .sslides div.show div.pco{display:block}.ssprev1 .slides span.photo,.ssprev1 .sslides span.photo{background:transparent url(../../i/cb/d19c56a9d793ad0996b6a6d916723f.gif) no-repeat scroll right center;padding-right:.833em}.ssprev1 .slides span.dest1,.ssprev1 .sslides span.dest1{background:transparent url(../../i/76/3619e38f147c925b6efd684ba85041.gif) no-repeat scroll right center;padding-right:.75em;margin-right:-.083em}.ssprev1 .slides span.dest2,.ssprev1 .sslides span.dest2{background:transparent url(../../i/30/676342c99e4efab0e2b64ce22f0188.gif) no-repeat scroll right center;padding-right:.75em;margin-right:-.083em}.ssprev1 .slides span.dest3,.ssprev1 .sslides span.dest3{background:transparent url(../../i/1b/beeef71dfcead5ca765fc5347ca373.gif) no-repeat scroll right center;padding-right:.75em;margin-right:-.083em}.ssprev1 .slides .blackbg span.photo,.ssprev1 .sslides .blackbg span.photo{background:transparent url(../../i/3d/477a27972b361af40bc6e8698d4f45.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg span.blog,.ssprev1 .sslides .blackbg span.blog{background:transparent url(../../i/de/441f1a3cb002d9f1dbeb9f7e494a2e.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg span.search,.ssprev1 .sslides .blackbg span.search{background:transparent url(../../i/c9/8d0efb0956c9b8587618347cbecb39.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg span.video,.ssprev1 .sslides .blackbg span.video{background:0 -6469px transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat;padding-right:.92em}.ssprev1 .slides .blackbg span.text,.ssprev1 .sslides .blackbg span.text{padding-right:.92em}.ssprev1 .slides .blackbg li.photo,.ssprev1 .sslides .blackbg li.photo{background:transparent url(../../i/3d/477a27972b361af40bc6e8698d4f45.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg li.blog,.ssprev1 .sslides .blackbg li.blog{background:transparent url(../../i/de/441f1a3cb002d9f1dbeb9f7e494a2e.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg li.search,.ssprev1 .sslides .blackbg li.search{background:transparent url(../../i/c9/8d0efb0956c9b8587618347cbecb39.gif) no-repeat scroll right center;padding-right:.92em}.ssprev1 .slides .blackbg li.video,.ssprev1 .sslides .blackbg li.video{background:0 -6469px transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat;padding-right:.92em}.ssprev1 .slides .blackbg li.text,.ssprev1 .sslides .blackbg li.text{padding-right:.92em}.ssprev1 .slides .npane span.photo,.ssprev1 .sslides .npane span.photo{padding-right:.75em}.ssprev1 .slides .n2 span.photo,.ssprev1 .sslides .n2 span.photo{margin-left:.167em}.ssprev1 .slides .npane span.dest1,.ssprev1 .slides .npane span.dest2,.ssprev1 .slides .npane span.dest3,.ssprev1 .sslides .npane span.dest1,.ssprev1 .sslides .npane span.dest2,.ssprev1 .sslides .npane span.dest3{margin-left:.167em;margin-right:-.083em}.ssprev1 .slides span.icon,.ssprev1 .sslides span.icon{margin-left:.25em}.ssprev1 .slides .headline li.last,.ssprev1 .slides .bigimage li.last,.ssprev1 .sslides .headline li.last,.ssprev1 .sslides .bigimage li.last{padding-bottom:1.333em}.ssprev1 .carview,.ssprev1 .scarview{float:left;overflow:hidden;width:47.32em;margin-top:2px}.ssprev1 .carview ul,.ssprev1 .scarview ul{list-style-type:none;margin:0;width:48.75em;min-width:48.1em;padding:0}.ssprev1 .carview li,.ssprev1 .scarview li{float:left;text-align:left;padding:8px 0}.ssprev1 .carview li{margin:0 .75em 0 .75em;width:10.25em}.ssprev1 .whicar .scarview li{margin:0 1.25em 0 0;width:8.333em}.ssprev1 .blacar .scarview li{margin:0 1.25em 0 0;width:8.333em}.ssprev1 .carview li a,.ssprev1 .scarview li a{float:left}.ssprev1 .carview li a:hover,.ssprev1 .scarview li a:hover{text-decoration:none}.ssprev1 .carview li a img,.ssprev1 .scarview li a img{border:none;margin-bottom:.333em}.ssprev1 .scarview li a img,.ssprev1 .sthumb .carview li a img{margin-bottom:.083em}.ssprev1 .carview li a span,.ssprev1 .scarview li a span{display:block;color:#ccc}.ssprev1 .carview li a span{width:125px}.ssprev1 .scarview li a span{width:100px}.ssprev1 .carpc,.ssprev1 .carnc{float:left;min-height:1px;min-width:30px;margin-top:.1em}.ssprev1 .sthumb .carpc,.ssprev1 .sthumb .carnc{width:29px;min-width:29px}.ssprev1 .carpc{text-align:left}.ssprev1 .carnc{text-align:right}.ssprev1 .carcont,.ssprev1 .scarcont{background-color:#000;clear:both;overflow:hidden}.ssprev1 .whicar .carview{width:47.16em}.ssprev1 .whicar .scarview{width:47.25em}.ssprev1 .carview .athumb span,.ssprev1 .scarview .athumb span{color:#fff;display:block;margin-top:0}.ssprev1 .blacar{background-color:black}.ssprev1 .whicar{background-color:white;border:solid 1px #bcbcbc}.ssprev1 .whicar .carview li a span,.ssprev1 .whicar .scarview li a span{color:#666}.ssprev1 .whicar .carview li .athumb span,.ssprev1 .whicar .scarview li .athumb span{color:#333}.ssprev1 .blacar .scarview li img,.ssprev1 .sthumb .blacar .carview li img{border:3px solid #000}.ssprev1 .carview li .athumb img,.ssprev1 .scarview li .athumb img{border:3px white solid;margin-top:-3px;margin-left:-3px;margin-bottom:.083em}.ssprev1 .scarview li .athumb img,.ssprev1 .sthumb .carview li a.athumb img{margin-left:0;margin-top:0}.ssprev1 .whicar .scarview li img,.ssprev1 .sthumb .whicar .carview li img{border:3px solid #fff}.ssprev1 .whicar .carview li .athumb img,.ssprev1 .whicar .scarview li .athumb img{border:3px #999 solid}#wrapper .ssprev1 .carview ul.llmsgleft .llmsg,#wrapper .ssprev1 .scarview ul.llmsgleft .llmsg{margin-left:1em;text-align:left}#wrapper .ssprev1 .carview ul.llmsgright .llmsg,#wrapper .ssprev1 .scarview ul.llmsgright .llmsg{margin-right:1em;text-align:right}.ssprev1 .bigimage ul{position:absolute;width:230px;margin-top:0;float:right;margin-left:370px;max-height:280px;overflow:hidden;padding-top:30px}.ssprev1 .bigimage div{position:absolute}.ssprev1 .blackbg,.ssprev1 .blackbg span,.ssprev1 .blackbg a,.ssprev1 .blackbg a:link,.ssprev1 .blackbg a:hover,.ssprev1 .blackbg a:visited{color:#fff}.ssprev1 .pgc{display:none}.ssprev1 .updated{min-height:3em;display:block}.ssprev1 .bigimage .banner{margin-left:2px;position:relative}.ssprev1 .banner{position:relative;background-color:rgb(163,3,3);color:white;display:inline;padding:.25em}.ssprev1 .slides .headline li.first,.ssprev1 .sslides .headline li.first{padding-top:3px}.ssprev1 .biglinkimg span a,.ssprev1 .biglinkimg span a:link,.ssprev1 .splitpane span a,.ssprev1 .splitpane span a:link,.ssprev1 .tripane span a,.ssprev1 .tripane span a:link{clear:both;color:#000;display:block;font-size:233%;line-height:.786em;padding-bottom:.571em;text-align:center}.ssprev1 .sslides .biglinkimg span a,.ssprev1 .sslides .biglinkimg span a:link,.ssprev1 .sslides .splitpane span a,.ssprev1 .sslides .splitpane span a:link,.ssprev1 .sslides .tripane span a,.ssprev1 .sslides .tripane span a:link{color:#333;font-size:233%;padding-bottom:.33em;line-height:.833em}.ssprev1 .sslides .biglinkimg .optional a,.ssprev1 .sslides .biglinkimg .optional a:link{font-size:100%;line-height:2.167em}.ssprev1 .biglinkimg img{border:0 none;display:block;float:left}.ssprev1 .splitpane img,.ssprev1 .tripane img{margin-bottom:.833em}.ssprev1 .splitpane li,.ssprev1 .tripane li{float:left;text-align:center}.ssprev1 .sslides .splitpane li a,.ssprev1 .sslides .splitpane li a:link,.ssprev1 .sslides .tripane li a,.ssprev1 .sslides .tripane li a:link{font-size:117%;font-weight:bold}.ssprev1 .splitpane .first{padding:0 1.417em 0 0}.ssprev1 .sslides .splitpane .first{padding:0 2.15em 0 0}.ssprev1 .tripane li{padding:0 .75em 0 0}.ssprev1 .sslides .tripane li{padding:0 .417em 0 0}.ssprev1 .tripane li.last{padding:0}.ssprev1 .sslides .banner{background-color:#a30303;display:inline-block;font-size:83.3%;margin:1.1em 0 1em 0;padding:.3em}.ssprev1 .sslides .bigimage .banner{margin:0 0 .6em 0}.ssprev1 .sslides .bigimage ul{height:16.417em;margin:1.25em 0 0 25.333em;padding:0;width:25.75em}.ssprev1 .sslides .headline li,.ssprev1 .sslides .bigimage li{line-height:2em;padding:0 0 0 1.5833em}.ssprev1 .sslides .headline li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6122px}.ssprev1 .sslides .bigimage li{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6350px}.ssprev1 .sslides .headline .richtext,.ssprev1 .sslides .bigimage .richtext{margin:0;padding:0}.ssprev1 .sslides .bigimage .richtext{margin-bottom:.083em;margin-top:.417em}.ssprev1 .sslides .headline h3{font-size:116.6%;padding-bottom:.214em;padding-top:.429em}.ssprev1 .sslides .bigimage h3{font-size:100%;padding-bottom:.2em;padding-top:.417em}.ssprev1 .sslides .headline .hl a,.ssprev1 .sslides .bigimage .hl a{font-size:233%;line-height:1.071em;padding:0 0 .429em 0}.ssprev1 .sslides .bigimage span.hl a{padding-bottom:.286em}#wrapper .ssprev1 .sslides .hltop a{text-align:center}.ssprev1 .sslides .hltop a{display:block;font-size:233%;line-height:.86em;padding:0 0 .32em}.ssprev1 .sslides .headline ul li.last{padding-bottom:0}.ssprev1 .sslides .headline .sub{padding-top:.5em}.ssprev1 .sslides .headline .sub span{position:relative;left:2px}.ssprev1 .sslides .headline li.blog,.ssprev1 .sslides .bigimage li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6692px}.ssprev1 .sslides .bigimage .blackbg li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6804px}.ssprev1 .sslides .headline li.search,.ssprev1 .sslides .bigimage li.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6916px}.ssprev1 .sslides .bigimage .blackbg li.search{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -1213px}.ssprev1 .sslides .headline li.photolink,.ssprev1 .sslides .bigimage li.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -7028px}.ssprev1 .sslides .bigimage .blackbg li.photolink{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -1325px}.ssprev1 .sslides .headline li.text,.ssprev1 .sslides .bigimage li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -5557px}.ssprev1 .sslides .bigimage .blackbg li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -6578px}.ssprev1 .sslides .headline li.media,.ssprev1 .sslides .bigimage li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6236px}.ssprev1 .sslides .bigimage .blackbg li.media{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6464px}.ssprev1 .slides .headline li.blog,.ssprev1 .slides .bigimage li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6695px}.ssprev1 .slides .bigimage .blackbg li.blog{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6807px}.ssprev1 .slides .headline li.search,.ssprev1 .slides .bigimage li.search{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6919px}.ssprev1 .slides .bigimage .blackbg li.search{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -1216px}.ssprev1 .slides .headline li.photolink,.ssprev1 .slides .bigimage li.photolink{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -7031px}.ssprev1 .slides .bigimage .blackbg li.photolink{background:transparent url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat scroll 0 -1328px}.ssprev1 .slides .headline li.text,.ssprev1 .slides .bigimage li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -5560px}.ssprev1 .slides .bigimage .blackbg li.text{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -6581px}.ssprev1 .sslides .headline .form{margin:.667em 0 0 26.917em}.ssprev1 .sslides .headline .form div div{border:solid 1px #bbb;height:2em;width:15.25em}.ssprev1 .sslides .headline .form input.text{border-width:0;color:#666;font-size:100%;margin:.333em 0 0 .167em;outline:none;vertical-align:top;width:13em}.ssprev1 .sslides .headline .form input.image{float:right;margin:.067em .067em 0 0}.ssprev1 .sthumb .carview li{margin:0 17px 0 0;width:129px}.ssprev1 .sthumb .carview{width:47.333em}.ssprev1 .sthumb .blacar .carview li a.athumb img{border:3px white solid}.curatedtweets1{border:1px solid #e1e1e1;height:23.333em;width:52.25em}.curatedtweets1 .content{display:none;float:left;height:23.333em;margin-left:1.667em;margin-right:1.667em;position:absolute;top:0;width:57%}.curatedtweets1 .content .header{background:0 -1554px transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat;color:#999;font-size:92%;margin-top:2.917em;min-height:20px;padding-left:2.5em;padding-top:.272em}.curatedtweets1 .content .author{color:#006092;display:block;margin-top:1em;font-size:125%;margin-bottom:.417em}.curatedtweets1 .content .richtext p{color:#666;font-family:georgia,serif;font-size:192%;line-height:1.304em;margin-bottom:.174em;margin-top:0}.curatedtweets1 .content .richtext p a{color:#006092}.curatedtweets1 .content .text{margin:1em 0;padding-right:18.5em}.curatedtweets1 .index{background-color:#cce0e7;border-bottom:1px solid #fff;cursor:pointer;clear:right;float:right;height:7.417em;margin-right:.417em;width:18.5em}.curatedtweets1 .index div.time{color:#666;font-size:.833em;margin-top:3.083em}.curatedtweets1 .index div.name{font-size:125%;font-weight:bold}.curatedtweets1 .index img{float:left;margin:.833em .833em .75em .833em}.curatedtweets1 .item{border:1px solid transparent;color:#333;position:relative}.curatedtweets1 .item.selected,.curatedtweets1 .item.selected .time span{color:#fff}.curatedtweets1 .item.selected .content{display:block}.curatedtweets1 .item.selected .index{background-color:#006092}.curatedtweets1 .item.first .index{margin-top:.417em}.curatedtweets1 .timestamp{float:left}.curatedtweets1 .timestamp,.curatedtweets1 .timestamp a{color:#999}.curatedtweets1 .timestamp a:hover{color:#000;text-decoration:underline}.curatedtweets1 .tweetbar a{color:#999;margin-right:.833em}.curatedtweets1 .tweetbar a i{display:inline-block;height:16px;background-color:transparent;width:14px}.curatedtweets1 .tweetbar a span{vertical-align:top;padding-left:.272em}.curatedtweets1 .tweetbar a.rly{padding-left:.833em}.curatedtweets1 .tweetbar a.rly i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 0 0}.curatedtweets1 .tweetbar a.rly:hover i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat -16px 0}.curatedtweets1 .tweetbar a.rt i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat -82px 0}.curatedtweets1 .tweetbar a.rt:hover i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat -96px 0}.curatedtweets1 .tweetbar a.fav{margin-right:0}.curatedtweets1 .tweetbar a.fav i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat -32px 0}.curatedtweets1 .tweetbar a.fav:hover i{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat -48px 0}.curatedtweets1 a.spacepadding,.curatedtweets1 b.spacepadding{margin-left:.417em}.curatedtweets2 .item.btm,.curatedtweets2 .item.lst{border-bottom:none}.curatedtweets2 .tweets{border-bottom:2px solid #ccebf7}.curatedtweets2 .item{margin-top:.833em;border-bottom:1px solid #e1e1e1;height:9.069em}.curatedtweets2 .twimg{float:left;width:48px}.curatedtweets2 .content{margin-left:4em;padding:0 0 .6em .833em}.curatedtweets2 .author{font-weight:bold}.curatedtweets2 .richtext p{line-height:1.25em;margin-bottom:0}.curatedtweets2 .timestamp{float:left;margin-right:.2em}.curatedtweets2 .tweetbar a{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -9379px;padding:0 .3em 0 .4em}.curatedtweets2 .tweetbar a,.curatedtweets2 .timestamp a{color:#666;font-size:83.3%}.curatedtweets2 .navbar ul{float:left;list-style-type:none;margin:0;padding:0}.curatedtweets2 .navbar ul li{float:left;height:9px;width:9px}.curatedtweets2 .item.hide{display:none}.curatedtweets2 .uinfo{line-height:.8em}.curatedtweets2 .navbar a.prev{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -8094px}.curatedtweets2 .navbar a.prev:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -8203px}.curatedtweets2 .navbar a.next{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -8312px}.curatedtweets2 .navbar a.next:hover{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -8421px}.curatedtweets2 .navbar li a{cursor:pointer;height:9px;position:absolute;text-decoration:none;width:9px}.curatedtweets2 .navbar{margin-top:.667em}.curatedtweets2 .rmdiv .item{display:none}.curatedtweets2 .richtext p a{display:inline-block;text-decoration:none}.curatedtweets2 .richtext p a:hover{text-decoration:underline}.curatedtweets2 .navbar a span{display:none}.pgilist1 .pgcarcont{height:352px;width:628px}.pgilist1 .pgcarcont .pgcarview ul li{height:352px;width:628px}.pgilist1 .pgcarcont .pgcarview ul li div{height:352px;width:628px}.pgilist1 .pgcarcont .pgcarview ul li div span{font-size:200%;line-height:1.25em;padding:.25em .417em;width:25.333em}.pgilist1 .pgc{display:none}.pgilist2 .pgcarcont{height:362px;width:644px}.pgilist2 .pgcarcont .pgcarview ul li{height:362px;width:644px}.pgilist2 .pgcarcont .pgcarview ul li div{height:362px;width:644px}.pgilist2 .pgcarcont .pgcarview ul li div span{font-size:200%;line-height:1.25em;padding:.25em .417em;width:26em}.pgilist2 .pgc{display:none}.pgcarcont{overflow:hidden;position:relative}.pgcarcont .pgcarpc img,.pgcarcont .pgcarnc img{cursor:pointer;position:absolute;z-index:1}.pgcarcont .pgcarnc img{right:0}.pgcarcont .pgcarview{position:absolute}.pgcarcont .pgcarview ul{margin:0;padding:0}.pgcarcont .pgcarview ul li{float:left;list-style-type:none;margin:0;padding:0}.pgcarcont .pgcarview ul li div{position:relative}.pgcarcont .pgcarview ul li div a img{position:absolute}.pgcarcont .pgcarview ul li div span{background:transparent url(../../i/84/9d29f3d4952417f5cfbe61e066958b.png);bottom:0;display:block;position:absolute;overflow:hidden;word-wrap:break-word}.pgcarcont .pgcarview ul li div span a,.pgcarcont .pgcarview ul li div span a:hover{color:#fff}.pgcarcont .pgcarview ul li div span a{text-decoration:none}.pgcarcont .pgcarview ul li div span a:hover{cursor:pointer;text-decoration:underline}.pgilist3 .pgcarcont{height:260px;width:464px}.pgilist3 .pgcarcont .pgcarview ul li{height:260px;width:464px}.pgilist3 .pgcarcont .pgcarview ul li div{height:260px;width:464px}.pgilist3 .pgcarcont .pgcarview ul li div span{font-size:117%;line-height:2.142em;padding:0 .714em .212em;width:31.714em}.pgilist3 .pgc{display:none}.linkimglist1{list-style-type:none;margin:0;padding:0}.linkimglist1 img{display:block;margin:.25em 0 0 0}.linkimglist1 li{margin:0 0 .917em 0}.linkimglist2{margin:0;padding:0}.linkimglist2 img{display:block;margin:.25em 0 0 0}.linkimglist2 li{display:block;float:left;margin-bottom:.917em;margin-right:0;min-width:90px;width:47%}.linkimglist3{margin:0;padding:0}.linkimglist3 img{display:block;margin:.25em 0 0 0}.linkimglist3 li{display:block;float:left;margin-bottom:.917em;margin-right:0;min-width:90px;width:28%}.linkimglist4{margin:0;padding:0}.linkimglist4 img{display:block;margin:.25em 0 0 0}.linkimglist4 li{display:block;float:left;margin-bottom:.917em;margin-right:0;min-width:90px;width:21%}.condbanner1{display:none;margin:.5em auto 0}.condbanner1 a.close{display:inline;padding:0 32px 32px 0;position:absolute;margin:0 0 0 -32px}.condbanner1 a.white{background:0 -618px transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat}.condbanner1 a.black{background:0 -750px transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat}.htmlbanner{background-color:#f2f2f2;color:#333;font-family:arial,sans-serif;height:2.167em;line-height:2.167em;min-width:980px;width:81.667em}.htmlbanner img{float:left;margin:.167em 1.667em .167em 0}.htmlbanner .bannertxt{float:left;margin:0 2.5em 0 0}.htmlbanner .option{float:left;font-weight:bold;line-height:1em;margin-top:.583em;padding-left:.667em;padding-right:.667em}.htmlbanner .second{border-left:1px solid}.htmlbanner .bgc{background-color:#009ad9;border-left:0 none;font-size:83.33%;line-height:2em;margin-right:1em;margin-top:.3em}.htmlbanner a.bgc,.htmlbanner a.bgc:link,.htmlbanner a.bgc:visited,.htmlbanner a.bgc:hover{color:#fff;text-decoration:none}.htmlbanner input{float:left;height:1.733em;margin:0 .533em 0 2em;padding:0}.htmlbanner .ctb{float:left;left:38.417em;margin-left:1.667em;position:relative}.htmlbanner img,.htmlbanner .bannertxt,.htmlbanner .option,.htmlbanner input,.htmlbanner label{position:relative;right:50%}.htmlbanner label{float:left}.htmlbanner a.hbclose{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -8638px transparent;float:right;margin:.75em .833em .75em 0;padding:0 .667em .667em 0;position:relative}.hbrtl img{margin:.333em 0 .333em 1.667em}.hbrtl .bannertxt{margin:0 0 0 2.5em}.hbrtl .second{border-left:0 none}.hbrtl .first{border-left:1px solid}.hbrtl input{margin:0 2em 0 .533em}.hbrtl .bannertxt,.hbrtl .option,.hbrtl label{direction:rtl}.hbrtl .bgc{border-left:0 none;margin-left:.5em;margin-right:.5em}.bw{border-top:8px solid #009ad9;border-bottom:8px solid #009ad9;min-width:980px}.light{background:#fff;height:3.5em;line-height:3.5em;width:100%}.bigbanner{min-width:980px;margin:0 auto;position:relative;width:81.667em}.bigbanner .bannertxt{font-weight:bold;font-size:14px;float:left;padding-left:.857em}.bigbanner ul,.bigbanner li{float:left;position:relative}.bigbanner ul{list-style-type:none;margin:0;padding:0}.bigbanner li{left:-50%;padding-left:4em}.bigbanner li.first{background:no-repeat 0 10px transparent}.bigbanner li.flag_dk{background-image:url(../../i/31/5cead547052b57418b059cc202ee09.gif)}.bigbanner li.flag_at{background-image:url(../../i/62/1e2f31380d68f66a2902fa36ba1253.gif)}.bigbanner li.flag_ch{background-image:url(../../i/4e/6d7cff7a90fbb020eba75345ebaee3.gif)}.bigbanner li.flag_de{background-image:url(../../i/d3/1a630de87bcb9ed34acbfbbece42fd.gif)}.bigbanner li.flag_gr{background-image:url(../../i/cf/04d47892f737e4fcc287dc42a1652f.gif)}.bigbanner li.flag_ca{background-image:url(../../i/0f/e763bffbcf10478b883f0137068bd5.gif)}.bigbanner li.flag_gb{background-image:url(../../i/23/3c12980030d41b79b977edd2808374.gif)}.bigbanner li.flag_id{background-image:url(../../i/56/94e93843250e607af7b233d179bf87.gif)}.bigbanner li.flag_ie{background-image:url(../../i/ce/46777a6fc0b74599c98fe19810d7fb.gif)}.bigbanner li.flag_in{background-image:url(../../i/c8/8a8a5276f5935c30217e1c706da9df.gif)}.bigbanner li.flag_my{background-image:url(../../i/d3/902c6a38848bddf8201f1826406811.gif)}.bigbanner li.flag_ph{background-image:url(../../i/6e/f582b2194e41319eb01a8d549e478a.gif)}.bigbanner li.flag_sg{background-image:url(../../i/39/c121afc31bc5c28c2ccf863ddffea3.gif)}.bigbanner li.flag_us{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -486px transparent}.bigbanner li.flag_za{background-image:url(../../i/07/889edf4468f251bcd193a8e83824d9.gif)}.bigbanner li.flag_ar{background-image:url(../../i/28/d254eb0b9a03e273ceaeb001460414.gif)}.bigbanner li.flag_cl{background-image:url(../../i/49/829591cfbac1ecc54587f9d853e8d5.gif)}.bigbanner li.flag_co{background-image:url(../../i/13/b339a473e43064efe316a7c210d36f.gif)}.bigbanner li.flag_cr{background-image:url(../../i/57/846fcc3ddd44c3374ce86612cd7c1f.gif)}.bigbanner li.flag_es{background-image:url(../../i/5f/74cf7ca9d145d2ed9d1baefe1a77cb.gif)}.bigbanner li.flag_mx{background-image:url(../../i/aa/08322a6a86d72d8f8883b61e532cfd.gif)}.bigbanner li.flag_pe{background-image:url(../../i/b3/944fff853ce4a2f6baf95e26a2095b.gif)}.bigbanner li.flag_ve{background-image:url(../../i/7a/c0eff129b3ee6b8966a41857939453.gif)}.bigbanner li.flag_xl{background-image:url(../../i/84/38a8f8579a8fde5e6d2e1d27239720.gif)}.bigbanner li.flag_fi{background-image:url(../../i/e5/4af7bc554e97b75af478345f14c638.gif)}.bigbanner li.flag_be{background-image:url(../../i/18/4280d4db3dd30aab6700e046924467.gif)}.bigbanner li.flag_fr{background-image:url(../../i/e1/0397b639ebb616321280ff79e8eb7a.gif)}.bigbanner li.flag_ma{background-image:url(../../i/ff/2044c11909458ca8d728fa0d96ae8d.gif)}.bigbanner li.flag_il{background-image:url(../../i/f7/75ed8dd5d3f39518441daf2f910f95.gif)}.bigbanner li.flag_hu{background-image:url(../../i/a2/313a5f79fd678fc991dec502daa683.gif)}.bigbanner li.flag_it{background-image:url(../../i/db/8d7c1ac2d65fcd836bee8160c7f422.gif)}.bigbanner li.flag_jp{background-image:url(../../i/cd/6a0a36a4dafcab33b898c337a5860c.gif)}.bigbanner li.flag_kr{background-image:url(../../i/50/3bf3995332d91d10016d4dffa3b536.gif)}.bigbanner li.flag_no{background-image:url(../../i/ed/35fecf66c8b5b66f7484fc0a3989be.gif)}.bigbanner li.flag_nl{background-image:url(../../i/b5/c2ae35085af9213b92e98e402ff311.gif)}.bigbanner li.flag_pl{background-image:url(../../i/ac/33dda958d82ba96b35fe626d133e6a.gif)}.bigbanner li.flag_br{background-image:url(../../i/e2/07594f0ecd1573422b4cebcd4ea236.gif)}.bigbanner li.flag_pt{background-image:url(../../i/1d/b800699397d5b40580898dcb2ddd09.gif)}.bigbanner li.flag_ru{background-image:url(../../i/98/e9cbbfc8144d65451386bf70ee60ed.gif)}.bigbanner li.flag_se{background-image:url(../../i/c2/90f171eca9bf14d5c5a2f47f54b793.gif)}.bigbanner li.flag_th{background-image:url(../../i/99/d0e62c0a2481c5e0116cc8ac620fa2.gif)}.bigbanner li.flag_tr{background-image:url(../../i/83/1180eacee2288255ef132946cc2dce.gif)}.bigbanner li.flag_hk{background-image:url(../../i/9e/8934e0b6f07fc39d4399f3b43eef58.gif)}.bigbanner li.flag_tw{background-image:url(../../i/23/f65a7e1ffe154bf80ec5d4572deb4a.gif)}.bigbanner li.flag_bo{background-image:url(../../i/41/d89517a3f3e71ba85fad2b9be58a80.gif)}.bigbanner li.flag_cu{background-image:url(../../i/b8/360f432f6f6dde9aee383862dacf35.gif)}.bigbanner li.flag_do{background-image:url(../../i/54/9e379e907e9303b680fd1542000dbb.gif)}.bigbanner li.flag_ec{background-image:url(../../i/9f/f9254d1405f2161ff6ea397f7363e7.gif)}.bigbanner li.flag_sv{background-image:url(../../i/4a/c5a46c62a2beaa16685b65875b7fa2.gif)}.bigbanner li.flag_gf{background-image:url(../../i/b2/4a5d52f905b9849a6a2acd458d43d3.gif)}.bigbanner li.flag_gt{background-image:url(../../i/75/b6a46d4074364103eb8985048497bb.gif)}.bigbanner li.flag_hn{background-image:url(../../i/4c/abd52498b7ec315b510f09c813d30a.gif)}.bigbanner li.flag_ni{background-image:url(../../i/20/7de51e2f5f4ac70a7c70fdefcb47e6.gif)}.bigbanner li.flag_pa{background-image:url(../../i/f3/3947111bfb62c515655c54d807ad91.gif)}.bigbanner li.flag_py{background-image:url(../../i/6b/ce9bc4a3f3c9b10d390413b46d6781.gif)}.bigbanner li.flag_sr{background-image:url(../../i/2b/f96f79e594661699e1b1ef75f4a166.gif)}.bigbanner li.flag_uy{background-image:url(../../i/b1/950a545210c0f9b694919d58bbe138.gif)}.bigbanner li.flag_aw{background-image:url(../../i/6d/5a6b06b0cf9f0ea743a14fed59b620.gif)}.bigbanner li.flag_gp{background-image:url(../../i/41/1151315f87562bfb0e8781128499d8.gif)}.bigbanner li.flag_ht{background-image:url(../../i/b3/c7cedf8f5726d41ea21621862d2323.gif)}.bigbanner li.flag_mq{background-image:url(../../i/97/c1042b9166cab19f24b440f8fb0f12.gif)}.bigbanner li.flag_an{background-image:url(../../i/f3/4749416a877ae38d7586c852b8f177.gif)}.bigbanner li.flag_eg{background-image:url(../../i/28/101d4647194794cace203a1f146245.gif)}.bigbanner li.flag_xa{background-image:url(../../i/5b/43bc6f5e66fb7855b6ff20174ad03b.gif)}.bigbanner li.pipe{border-left:1px solid #a3a3a3;height:1.833em;margin:10px;padding:0}.bigbanner li.last{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -486px transparent}.bigbanner input{float:right;height:2.8em;margin:0 .533em 0 0;padding:0;position:relative}.bigbanner label{float:right;margin-right:3.333em;position:relative}.bigbanner a.hbclose{background:url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat scroll 0 -8746px transparent;right:1em;margin:1.417em 0 0 0;padding:0 8px 8px 0}.iepin{border:1px solid #e6e6e6;direction:ltr}.iepin .htmlbanner{direction:ltr;height:2em;line-height:2em;min-width:978px;width:81.5em}.iepin .htmlbanner .ctb{margin-left:1.667em}.iepin .htmlbanner .bannertxt{margin-right:0}.iepin .htmlbanner img{margin:.083em .417em .083em 0}.iepin .htmlbanner a.hbclose{margin-top:.667em;margin-bottom:.667em}.nmb{border-bottom:1px solid #ccc;min-width:980px;margin-top:0}.nmail{background-color:#fff;height:14.416em;line-height:14.416em;width:81.667em}.nmail .nmbanner{background:#0072c6;height:3.333em;padding-left:.833em}.nmail .nmlogo{padding-left:.833em}.nmail .bannertxt{font-family:segoe ui light;font-size:22px;line-height:1.363em;margin:1em 0 .681em 2.273em}.nmail .option{background:#0072c6;font-family:segoe ui semibold;font-size:14px;font-weight:normal;line-height:1.357em;margin-left:3.57em;min-width:5em;padding:.214em .857em .36em;text-align:center}.nmb .nmail a,.nmb .nmail a:hover,.nmb .nmail a:visited{color:#fff;text-decoration:none}.nmail .nmlogo,.nmail img,.nmail .bannertxt,.nmail .option{clear:left;float:left}.nmb .nmail a.nmlogo:hover{background-color:#005da2}.nmb .nmail a.option:hover{background-color:#3d94d4}.nmb .nmail a.option:active,.nmb .nmail a.nmlogo:active{background-color:#000}.msacnt{background-color:#0072c6;color:#fff;display:block;height:3.25em;min-width:972px;position:relative;width:81em}.msacnt .msalbl{display:inline-block;font-size:133%;font-family:segoe ui;line-height:normal;margin:.4em 0 0 1.06em;width:40em}.msacnt .msadivrt{display:inline-block;font-size:125%;height:2.6em;position:absolute;right:0}.msacnt .msalk{display:inline-block;color:inherit;float:left;font-family:segoe ui;line-height:1.4em;margin-top:.5em;margin-right:1.2em;text-decoration:underline}.msacnt a.close.msacl{background:url(../../i/25/0afb504bba34c93d8c0f6b171ada65.png) no-repeat 0 -978px;display:inline-block!important;height:1.48em;width:1.48em;min-height:22px;min-width:22px;margin:.55em 1.07em 0 0;padding:0;position:static}.iad1{border:solid 1px #bdbdbd;padding:2.667em;text-align:left}iframe.iad1{background:#fff;border:none;height:23.3em;padding:0;width:46.833em}.iad1 h2{border-bottom:solid 3px #c4c4c4;font-size:150%;font-weight:normal;margin-bottom:.5em;padding-bottom:.444em}.iad1 form{margin:0}.iad1 fieldset{border:none;margin:0;padding:0}.iad1 p{font-size:117%;margin:0}.iad1 ol{list-style-type:none;margin:.5em 0 1.5em 0;padding:0}.iad1 input{margin:0;margin-right:.267em;vertical-align:middle}.iad1 li{margin-left:2.667em;padding:1.167em 0 0 0}.iad1 li input,.iad1 li label{display:block}.iad1 li input{float:left;margin:.467em 0 0 0}.iad1 li label{margin-left:25px}.iad1 .flag{font-size:117%;font-weight:bold;padding-left:67px}.iad1 .country1{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -120px}.iad1 .country2{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -248px}.iad1 em{color:#666;display:block;font-size:85.714%;font-style:normal;font-weight:normal;margin-top:.083em}.iad1 div{float:right;margin-top:-1.25em}.iad1 div input{margin-right:0;margin-left:.267em}.iad1 div input.reset{margin-left:.75em}.iad1 li.last{padding-top:.583em}.iad1 li.last input{margin-top:.25em}.iad1 li.last label{margin-top:.333em}iframe.iab1{height:2.75em;width:100%;padding-top:0}.iab1{background-color:#d4e9fb;height:2.75em;padding-top:.833em}.iab1 span{font-weight:bold;margin-right:.667em}.iab1 a.yes{margin-right:.5em}.iab1 a.no{margin-left:.5em}.loctog1{display:block;float:right}.loctog1,.loctog1 li a:link,.loctog1 li a:hover,.loctog1 li a:visited,.loctog1 li a:active{font-weight:normal}.loctog1 li.anchor{display:inline;float:left;line-height:1.6em;height:1.6em;min-height:16px}.loctog1 ul,.loctog1 li{display:block;list-style:none;margin:0;padding:0;text-align:right}.loctog1 li ul{min-width:300px}.loctog1 li li{background-color:#fff;border-bottom:1px solid #e1e1e1;display:block;text-align:left;width:100%}.loctog1 li a:link,.loctog1 li a:visited,.loctog1 li a:active,.loctog1 li a:hover{text-decoration:none;float:none}.loctog1 li a.anchor{background:transparent url(../../i/5f/5280118e68aedbc5821d17132a5340.gif) no-repeat right center;padding:0 1.667em 0 0}.loctog1 li:hover a.anchor,.loctog1 li a:hover.anchor,.loctog1 .js #hov a.anchor{background:transparent url(../../i/ca/3c96cedc06b31154b1c428a3decd61.gif) no-repeat right center}.loctog1 ul li:hover ul,.loctog1 ul.js #hov ul{top:1.6em;z-index:110}.loctog1 li li:hover,.loctog1 .js li li#hov,.loctog1 li li.focus{background-color:#f1f1f1}.loctog1 li li.edit:hover,.loctog1 .js li li#hov,.loctog1 li ul li.edit{background-color:transparent}.loctog1 li.anchor div{position:relative}.loctog1 li ul,.loctog1 ul.js li:hover ul{left:auto;right:0;top:-999em;z-index:auto}.loctog1 li.anchor ul{background-color:#fff;border:solid 1px #dedede;position:absolute;line-height:1.4em}.loctog1 li li ul{max-height:11.667em;overflow:auto}.loctog1 ul li:hover li ul,.loctog1 ul.js #hov li ul{position:relative;top:auto;border:none}.loctog1 li li a,.loctog1 ul.js li li a{display:block;float:none;padding:.417em .833em;white-space:nowrap}.loctog1 li li span{float:none}.loctog1 li li a:link span,.loctog1 li li a:hover span,.loctog1 li li a:active span,.loctog1 li li a:visited span{float:none;margin-left:.667em;text-decoration:underline}.loctog1 li li.edit{border-bottom:none;display:block;padding:.833em 0;text-align:right}.loctog1 li li.edit a,.loctog1 ul.js li li.edit a{background-color:#009ad9;border:solid 1px #dedede;color:#fff;display:inline;margin-right:.833em;padding:.417em .833em}.cepiframe .modal{background:transparent url(../../i/6b/48c70683e54446a7231d3561980157.png);display:none;left:0;position:absolute;top:0;width:100%;z-index:150}.cepiframe .loc{background:#fff;display:none;position:absolute;padding:0;border:solid 1px #ccc;z-index:151}.cepiframe iframe{border:0;margin:0}#wrapper .weamulti1 .attr a{float:none}#wrapper .weamulti1 .attr{bottom:0;color:#666;float:right;font-size:100%;font-weight:bold;position:static}.weamulti1 .h3{border-bottom:1px solid #ccc;font-weight:normal;padding-bottom:.664em}.weamulti1 .h3 a:link,.weamulti1 .h3 a:visited,.weamulti1 .h3 a:hover,.weamulti1 .h3 a:active{color:#666}.weamulti1 .h3 .locheading{float:left}.weamulti1 .h3 .attr a:link,.weamulti1 .h3 .attr a:visited,.weamulti1 .h3 .attr a:active,.weamulti1 .h3 .attr a:hover{font-weight:normal}.weamulti1 ul{border-bottom:none;clear:both;list-style-type:none;margin:0;padding-left:0;padding-right:0}.weamulti1 ul ul{border-bottom:1px solid #ccc;border-top:1px solid #ccc;clear:right;margin-top:.5em;padding-top:.5em;padding-bottom:.5em;padding-left:0}.weamulti1 .forecast{margin-top:.5em}.weamulti1 .h3 .attr{direction:ltr;font-weight:bold}.weamulti1 li li{border-bottom:none;display:inline-block;float:left;margin-bottom:0;margin-left:0;text-align:center;width:25%}.weamulti1 li li.first{margin-left:0}.weamulti1 li li.last{float:none}.weamulti1 ul li ul img{display:block;margin:10px auto}.weamulti1 ul h3{display:inline;width:auto}.weamulti1 ul h3 a{margin-bottom:.5em}.weamulti1 ul h4{color:#666;font-weight:normal}.weamulti1 ul h4 a{white-space:nowrap}.weamulti1 .lastcity{padding-bottom:.5em;color:#666}.weamulti1 .wsl h3 a{font-size:108%;line-height:1.188em}.weamulti1 .wsl,.weamulti1 .wsl ul{border-bottom:none}.weamulti1 .wsl li.forecast{display:none}.weamulti1 .cepedit{display:block;float:right;margin:.412em}.weamulti2{float:right}.weamulti2 ul{float:left;list-style-type:none;margin:0;padding-left:0}.weamulti2 li{display:inline-block;float:left}.weamulti2 li.forecast{margin:0;text-align:center;display:none}.weamulti2 li.forecast h3{border-right:1px solid #666;display:inline;float:left;padding-right:.412em;width:auto}.weamulti2 li.forecast h3 a{float:left;font-size:100%}.weamulti2 li.forecast a,.weamulti2 li.forecast span.attr{display:block;float:left}.weamulti2 li.forecast div{display:inline-block}.weamulti2 li.forecast a.img{margin:0 .2em;padding:0}.weamulti2 li.forecast a.img img{border:0}.weamulti2 li.forecast span.attr{font-weight:bold;margin:0 0 0 .412em}.weamulti2 li.forecast span.attr a:link,.weamulti2 li.forecast span.attr a:visited,.weamulti2 li.forecast span.attr a:active,.weamulti2 li.forecast span.attr a:hover{color:#999;display:inline;float:none;font-weight:normal}.weamulti2 li.forecast span.extended{display:block;float:left;margin-left:.412em}.weamulti2 li.forecast span.extended a{margin-left:.412em}.weamulti2 a.cepedit{color:#999;float:left;margin-top:0;margin-left:.412em}#wrapper .weamulti2 .attr{direction:ltr}.weamulti1 .ss{border-style:none;display:block;float:left;margin:0;padding:0}.weamulti1 .ss a{display:block;padding:0;text-decoration:none}.weamulti1 .ss li{padding-top:.5em}.weamulti1 .ss .left{float:left;margin-right:.412em}.weamulti1 .ss .right{float:right;margin-left:.412em}.weamulti1 .ss .last{text-align:center}.weamulti1 .ss li.disabled a.prev{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -1796px}.weamulti1 .ss li.disabled a.next{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -1915px}.weamulti1 .ss .prev span,.weamulti1 .ss .next span,.weamulti2 .ss .prev span,.weamulti2 .ss .next span{display:none}.weamulti1 .ss a.prev,.weamulti2 .ss a.prev{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2034px}.weamulti1 .ss a.next,.weamulti2 .ss a.next{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2153px}.weamulti1 .ss a.prev:hover,.weamulti1 .ss a.prev:active,.weamulti2 .ss a.prev:hover,.weamulti2 .ss a.prev:active{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2272px}.weamulti1 .ss a.next:hover,.weamulti1 .ss a.next:active,.weamulti2 .ss a.next:hover,.weamulti2 .ss a.next:active{background:transparent url(../../i/e2/4a33645182f94295297913abccb38c.png) no-repeat 0 -2391px}.weamulti1 .ss a.prev,.weamulti1 .ss a.next,.weamulti2 .ss a.prev,.weamulti2 .ss a.next{height:19px;width:19px}.weamulti2 .ss a.prev,.weamulti2 .ss a.next{display:block;padding:0;margin-top:-.166em}.co5b1 .b3,.co5b1 .b4{display:none}.co5b1 .br{float:left}.co5b1 .br2{clear:right}.co5b1 .br3{clear:both}.co5b1 .more{clear:left}.co5b1 .br .more{clear:none}.co5b1 .b3{display:block}.co6b5 .b3,.co6b5 .b4,.co6b5 .b5{display:none}.co6b5 .br1,.co6b5 .br4{float:left}.co6b5 .br2,.co6b5 .br3,.co6b5 .br5,.co6b5 .br6{float:right}.co6b5 .br3,.co6b5 .br4,.co6b5 .br6,.co6b5 .more{clear:left}.co6b5 .br3,.co6b5 .br6{clear:right}.co6b5 .more{clear:both}.co6b5 .br .more{clear:none}.tilelist1{border:1px solid #999;position:relative;width:24.833em;height:24.833em;z-index:0}.tilelist1 ul{margin:0;padding:0}.tilelist1 ul li{list-style:none;position:relative}.tilelist1 .item,.tilelist1 .item img{width:24.833em;height:24.833em}.tilelist1 .item a .overlay{display:none;z-index:2}.tilelist1 .item img,.tilelist1 .item .overlay{left:0;position:absolute;top:0;z-index:1}.ro .focus .tilelist1 .item a .overlay{display:block}.tilelist1 .item .controls{bottom:0;height:11.333em;left:0;padding:.5em;position:absolute;width:11.333em;z-index:3}.tilelist1 .item .controls .logo img{height:2.916em;position:relative;width:8.333em}.tilelist1 .item .controls .wallpanel{bottom:0;margin:0 .5em .5em 0;max-height:7.916em;max-width:11.333em;overflow:hidden;position:absolute}.tilelist1 .item .controls h3 a,.tilelist1 .item .controls h3 a:link,.tilelist1 .item .controls h3 a:visited,.tilelist1 .item .controls h3 a:hover{color:#fff;font-size:150%;font-weight:normal;line-height:20px}.tilelist1 .item .controls .richtext p{color:#fff;font-size:108%;line-height:16px;margin:.333em 0 0}.tilelist1 .item .bgcorange{background-color:#d24400}.tilelist1 .item .bgcpink{background-color:#ca1c5e}.tilelist1 .item .bgcpurple{background-color:#ab23bb}.tilelist1 .item .bgcindigo{background-color:#6d3fd3}.tilelist1 .item .bgcblue{background-color:#007eb0}.tilelist1 .item .bgcgreen{background-color:#04b444}.tilelist1 .item .bgcblack{background-color:#333}.tilelist1 .buttons{display:none;line-height:20px;margin-left:9em;margin-top:12.833em;position:absolute;z-index:4}.ro .crlbtn .tilelist1 .buttons{display:block}.ro .crlbtn .tilelist1 .buttons a{cursor:pointer;display:block;float:left}.tilelist1 .buttons a.prev{background:url(../../i/72/6cd551c843117275b8b0e2ca19706d.png) no-repeat center center;height:1.667em;width:1em}.tilelist1 .buttons a.pause{background:url(../../i/dd/d11fb05017e77d87f5ab92b3384ffb.png) no-repeat center center;height:1.667em;width:.833em}.tilelist1 .buttons a.play{background:url(../../i/9d/c968b27403c039886a9745904634a9.png) no-repeat center center;height:1.667em;width:.833em}.tilelist1 .buttons a.next{background:url(../../i/bd/b651f112f04430ea4e6fb781c96a97.png) no-repeat center center;height:1.667em;width:1em}#mtm.cogr{margin-top:29px;margin-bottom:20px}#mtm .mtmdata{height:254px;overflow:hidden}.mtmdata .hide{display:none}#mtm ul.cotb{margin:0;font-weight:normal}#mtm .cotb li{text-transform:lowercase}#mtm li span,#mtm li.tabsel a{display:none}#mtm li span.tabsel{display:block}#mtm ul.cotb,#mtm li.tabsel span{border-color:#009ad9}#mtm span,#mtm ul.cotb a{font-family:arial;font-size:1.167em;color:#666;padding:5px 10px}#mtm .tabsel span{color:#000}#mtm .mtmlinklist ul{margin:0;padding:0}#mtm .mtmlinklist ul li{padding:5px 0 8px 39px;border-bottom:1px solid #f1f1f1;height:48px}#mtm .mtmlink a{font-size:1.34em;line-height:1.12em}#mtm .mtmabs{font-size:.92em;color:#999}#mtm .gallery,#mtm .article,#mtm .blog,#mtm .video,#mtm .search,#mtm .default{background-repeat:no-repeat;background-position:9px 8px}#mtm .gallery{background-image:url(../../i/a8/36e5aa94f62ea344649d2892ecb798.png)}#mtm .article{background-image:url(../../i/b1/c7ccdbc1f248ca7d7a9c16d48aea13.png)}#mtm .blog{background-image:url(../../i/7f/083dfcd00bab58ce9ac6c43a14c953.png)}#mtm .video{background-image:url(../../i/76/4281824446190df959e7966e7d97f1.png)}#mtm .search{background-image:url(../../i/15/51c53c993327a4589cf0ccedb9a1de.png)}#mtm .default{background-image:url(../../i/f8/0a5599b0544e00a42022a0b9617294.png)}#mtm #btnup{margin-right:10px}#mtm img.disable{cursor:default}.sctiklist1 div{overflow:hidden;height:1.75em}.sctiklist1 li{height:100%;float:left;line-height:1.75em;margin:0;margin-right:.666em;padding-right:.666em}.sctiklist1 li a{height:100%;line-height:1.75em}.sctiklist1 h4{height:100%;float:left;line-height:1.75em;margin-right:.417em;padding:0 .833em}.sctiklist1 .scdiv li{padding-right:0}.sctiklist1 .scdiv li span{margin-left:.666em}.scdiv ul{position:absolute}.sctiklist1 ul{list-style:none;height:100%;width:100em;margin:0;padding:0;z-index:99}.sctiklist1 li,.sctiklist1 .last span{display:none}.scdiv div{position:relative}.sctiklist1 .scrlb1 div .fade li{background-color:#fff}.sctiklist1 .last a span{display:block}.sctiklist1 .scrbo2{border:1px solid #006092}.sctiklist1 .scrbo3{border:1px solid #009ad9}.sctiklist1 .scrbo4{border:1px solid #a30303}.sctiklist1 .scrhb1 h4{background-color:#006092;color:#fff}.sctiklist1 .scrhb1 h4 a{color:#fff}.sctiklist1 .scrhb2 h4{background-color:#009ad9;color:#fff}.sctiklist1 .scrhb2 h4 a{color:#fff}.sctiklist1 .scrhb3 h4{background-color:#a30303;color:#fff}.sctiklist1 .scrhb3 h4 a{color:#fff}.sctiklist1 .scrlb1 div{background-color:#fff}.sctiklist1 .scrlb1 div ul li,.sctiklist1 .scrlb1 div ul li a{color:#000}"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-bom-cs.txt",
|
||
"content": "using System;\r\nusing System.Collections.Generic;\r\nusing System.Net.Http;\r\nusing System.Security.Claims;\r\nusing System.Security.Cryptography;\r\nusing System.Threading.Tasks;\r\nusing System.Web;\r\nusing System.Web.Http;\r\nusing System.Web.Http.ModelBinding;\r\nusing Microsoft.AspNet.Identity;\r\nusing Microsoft.AspNet.Identity.EntityFramework;\r\nusing Microsoft.AspNet.Identity.Owin;\r\nusing Microsoft.Owin.Security;\r\nusing Microsoft.Owin.Security.Cookies;\r\nusing Microsoft.Owin.Security.OAuth;\r\nusing WebApplication.Models;\r\nusing WebApplication.Providers;\r\nusing WebApplication.Results;\r\n\r\nnamespace WebApplication.Controllers\r\n{\r\n [Authorize]\r\n [RoutePrefix(\"api/Account\")]\r\n public class AccountController : ApiController\r\n {\r\n private const string LocalLoginProvider = \"Local\";\r\n private ApplicationUserManager _userManager;\r\n\r\n public AccountController()\r\n {\r\n }\r\n\r\n public AccountController(ApplicationUserManager userManager,\r\n ISecureDataFormat<AuthenticationTicket> accessTokenFormat)\r\n {\r\n UserManager = userManager;\r\n AccessTokenFormat = accessTokenFormat;\r\n }\r\n\r\n public ApplicationUserManager UserManager\r\n {\r\n get\r\n {\r\n return _userManager ?? Request.GetOwinContext().GetUserManager<ApplicationUserManager>();\r\n }\r\n private set\r\n {\r\n _userManager = value;\r\n }\r\n }\r\n\r\n public ISecureDataFormat<AuthenticationTicket> AccessTokenFormat { get; private set; }\r\n\r\n // GET api/Account/UserInfo\r\n [HostAuthentication(DefaultAuthenticationTypes.ExternalBearer)]\r\n [Route(\"UserInfo\")]\r\n public UserInfoViewModel GetUserInfo()\r\n {\r\n ExternalLoginData externalLogin = ExternalLoginData.FromIdentity(User.Identity as ClaimsIdentity);\r\n\r\n return new UserInfoViewModel\r\n {\r\n Email = User.Identity.GetUserName(),\r\n HasRegistered = externalLogin == null,\r\n LoginProvider = externalLogin != null ? externalLogin.LoginProvider : null\r\n };\r\n }\r\n\r\n // POST api/Account/Logout\r\n [Route(\"Logout\")]\r\n public IHttpActionResult Logout()\r\n {\r\n Authentication.SignOut(CookieAuthenticationDefaults.AuthenticationType);\r\n return Ok();\r\n }\r\n\r\n // GET api/Account/ManageInfo?returnUrl=%2F&generateState=true\r\n [Route(\"ManageInfo\")]\r\n public async Task<ManageInfoViewModel> GetManageInfo(string returnUrl, bool generateState = false)\r\n {\r\n IdentityUser user = await UserManager.FindByIdAsync(User.Identity.GetUserId());\r\n\r\n if (user == null)\r\n {\r\n return null;\r\n }\r\n\r\n List<UserLoginInfoViewModel> logins = new List<UserLoginInfoViewModel>();\r\n \r\n foreach (IdentityUserLogin linkedAccount in user.Logins)\r\n {\r\n logins.Add(new UserLoginInfoViewModel\r\n {\r\n LoginProvider = linkedAccount.LoginProvider,\r\n ProviderKey = linkedAccount.ProviderKey\r\n });\r\n }\r\n\r\n if (user.PasswordHash != null)\r\n {\r\n logins.Add(new UserLoginInfoViewModel\r\n {\r\n LoginProvider = LocalLoginProvider,\r\n ProviderKey = user.UserName,\r\n });\r\n }\r\n\r\n return new ManageInfoViewModel\r\n {\r\n LocalLoginProvider = LocalLoginProvider,\r\n Email = user.UserName,\r\n Logins = logins,\r\n ExternalLoginProviders = GetExternalLogins(returnUrl, generateState)\r\n };\r\n }\r\n\r\n // POST api/Account/ChangePassword\r\n [Route(\"ChangePassword\")]\r\n public async Task<IHttpActionResult> ChangePassword(ChangePasswordBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n \r\n IdentityResult result = await UserManager.ChangePasswordAsync(User.Identity.GetUserId(), model.OldPassword,\r\n model.NewPassword);\r\n \r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // POST api/Account/SetPassword\r\n [Route(\"SetPassword\")]\r\n public async Task<IHttpActionResult> SetPassword(SetPasswordBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n\r\n IdentityResult result = await UserManager.AddPasswordAsync(User.Identity.GetUserId(), model.NewPassword);\r\n\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // POST api/Account/AddExternalLogin\r\n [Route(\"AddExternalLogin\")]\r\n public async Task<IHttpActionResult> AddExternalLogin(AddExternalLoginBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n\r\n Authentication.SignOut(DefaultAuthenticationTypes.ExternalCookie);\r\n\r\n AuthenticationTicket ticket = AccessTokenFormat.Unprotect(model.ExternalAccessToken);\r\n\r\n if (ticket == null || ticket.Identity == null || (ticket.Properties != null\r\n && ticket.Properties.ExpiresUtc.HasValue\r\n && ticket.Properties.ExpiresUtc.Value < DateTimeOffset.UtcNow))\r\n {\r\n return BadRequest(\"External login failure.\");\r\n }\r\n\r\n ExternalLoginData externalData = ExternalLoginData.FromIdentity(ticket.Identity);\r\n\r\n if (externalData == null)\r\n {\r\n return BadRequest(\"The external login is already associated with an account.\");\r\n }\r\n\r\n IdentityResult result = await UserManager.AddLoginAsync(User.Identity.GetUserId(),\r\n new UserLoginInfo(externalData.LoginProvider, externalData.ProviderKey));\r\n\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // POST api/Account/RemoveLogin\r\n [Route(\"RemoveLogin\")]\r\n public async Task<IHttpActionResult> RemoveLogin(RemoveLoginBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n\r\n IdentityResult result;\r\n\r\n if (model.LoginProvider == LocalLoginProvider)\r\n {\r\n result = await UserManager.RemovePasswordAsync(User.Identity.GetUserId());\r\n }\r\n else\r\n {\r\n result = await UserManager.RemoveLoginAsync(User.Identity.GetUserId(),\r\n new UserLoginInfo(model.LoginProvider, model.ProviderKey));\r\n }\r\n\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // GET api/Account/ExternalLogin\r\n [OverrideAuthentication]\r\n [HostAuthentication(DefaultAuthenticationTypes.ExternalCookie)]\r\n [AllowAnonymous]\r\n [Route(\"ExternalLogin\", Name = \"ExternalLogin\")]\r\n public async Task<IHttpActionResult> GetExternalLogin(string provider, string error = null)\r\n {\r\n if (error != null)\r\n {\r\n return Redirect(Url.Content(\"~/\") + \"#error=\" + Uri.EscapeDataString(error));\r\n }\r\n\r\n if (!User.Identity.IsAuthenticated)\r\n {\r\n return new ChallengeResult(provider, this);\r\n }\r\n\r\n ExternalLoginData externalLogin = ExternalLoginData.FromIdentity(User.Identity as ClaimsIdentity);\r\n\r\n if (externalLogin == null)\r\n {\r\n return InternalServerError();\r\n }\r\n\r\n if (externalLogin.LoginProvider != provider)\r\n {\r\n Authentication.SignOut(DefaultAuthenticationTypes.ExternalCookie);\r\n return new ChallengeResult(provider, this);\r\n }\r\n\r\n ApplicationUser user = await UserManager.FindAsync(new UserLoginInfo(externalLogin.LoginProvider,\r\n externalLogin.ProviderKey));\r\n\r\n bool hasRegistered = user != null;\r\n\r\n if (hasRegistered)\r\n {\r\n Authentication.SignOut(DefaultAuthenticationTypes.ExternalCookie);\r\n \r\n ClaimsIdentity oAuthIdentity = await user.GenerateUserIdentityAsync(UserManager,\r\n OAuthDefaults.AuthenticationType);\r\n ClaimsIdentity cookieIdentity = await user.GenerateUserIdentityAsync(UserManager,\r\n CookieAuthenticationDefaults.AuthenticationType);\r\n\r\n AuthenticationProperties properties = ApplicationOAuthProvider.CreateProperties(user.UserName);\r\n Authentication.SignIn(properties, oAuthIdentity, cookieIdentity);\r\n }\r\n else\r\n {\r\n IEnumerable<Claim> claims = externalLogin.GetClaims();\r\n ClaimsIdentity identity = new ClaimsIdentity(claims, OAuthDefaults.AuthenticationType);\r\n Authentication.SignIn(identity);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // GET api/Account/ExternalLogins?returnUrl=%2F&generateState=true\r\n [AllowAnonymous]\r\n [Route(\"ExternalLogins\")]\r\n public IEnumerable<ExternalLoginViewModel> GetExternalLogins(string returnUrl, bool generateState = false)\r\n {\r\n IEnumerable<AuthenticationDescription> descriptions = Authentication.GetExternalAuthenticationTypes();\r\n List<ExternalLoginViewModel> logins = new List<ExternalLoginViewModel>();\r\n\r\n string state;\r\n\r\n if (generateState)\r\n {\r\n const int strengthInBits = 256;\r\n state = RandomOAuthStateGenerator.Generate(strengthInBits);\r\n }\r\n else\r\n {\r\n state = null;\r\n }\r\n\r\n foreach (AuthenticationDescription description in descriptions)\r\n {\r\n ExternalLoginViewModel login = new ExternalLoginViewModel\r\n {\r\n Name = description.Caption,\r\n Url = Url.Route(\"ExternalLogin\", new\r\n {\r\n provider = description.AuthenticationType,\r\n response_type = \"token\",\r\n client_id = Startup.PublicClientId,\r\n redirect_uri = new Uri(Request.RequestUri, returnUrl).AbsoluteUri,\r\n state = state\r\n }),\r\n State = state\r\n };\r\n logins.Add(login);\r\n }\r\n\r\n return logins;\r\n }\r\n\r\n // POST api/Account/Register\r\n [AllowAnonymous]\r\n [Route(\"Register\")]\r\n public async Task<IHttpActionResult> Register(RegisterBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n\r\n var user = new ApplicationUser() { UserName = model.Email, Email = model.Email };\r\n\r\n IdentityResult result = await UserManager.CreateAsync(user, model.Password);\r\n\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n return Ok();\r\n }\r\n\r\n // POST api/Account/RegisterExternal\r\n [OverrideAuthentication]\r\n [HostAuthentication(DefaultAuthenticationTypes.ExternalBearer)]\r\n [Route(\"RegisterExternal\")]\r\n public async Task<IHttpActionResult> RegisterExternal(RegisterExternalBindingModel model)\r\n {\r\n if (!ModelState.IsValid)\r\n {\r\n return BadRequest(ModelState);\r\n }\r\n\r\n var info = await Authentication.GetExternalLoginInfoAsync();\r\n if (info == null)\r\n {\r\n return InternalServerError();\r\n }\r\n\r\n var user = new ApplicationUser() { UserName = model.Email, Email = model.Email };\r\n\r\n IdentityResult result = await UserManager.CreateAsync(user);\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result);\r\n }\r\n\r\n result = await UserManager.AddLoginAsync(user.Id, info.Login);\r\n if (!result.Succeeded)\r\n {\r\n return GetErrorResult(result); \r\n }\r\n return Ok();\r\n }\r\n\r\n protected override void Dispose(bool disposing)\r\n {\r\n if (disposing)\r\n {\r\n UserManager.Dispose();\r\n }\r\n\r\n base.Dispose(disposing);\r\n }\r\n\r\n #region Helpers\r\n\r\n private IAuthenticationManager Authentication\r\n {\r\n get { return Request.GetOwinContext().Authentication; }\r\n }\r\n\r\n private IHttpActionResult GetErrorResult(IdentityResult result)\r\n {\r\n if (result == null)\r\n {\r\n return InternalServerError();\r\n }\r\n\r\n if (!result.Succeeded)\r\n {\r\n if (result.Errors != null)\r\n {\r\n foreach (string error in result.Errors)\r\n {\r\n ModelState.AddModelError(\"\", error);\r\n }\r\n }\r\n\r\n if (ModelState.IsValid)\r\n {\r\n // No ModelState errors are available to send, so just return an empty BadRequest.\r\n return BadRequest();\r\n }\r\n\r\n return BadRequest(ModelState);\r\n }\r\n\r\n return null;\r\n }\r\n\r\n private class ExternalLoginData\r\n {\r\n public string LoginProvider { get; set; }\r\n public string ProviderKey { get; set; }\r\n public string UserName { get; set; }\r\n\r\n public IList<Claim> GetClaims()\r\n {\r\n IList<Claim> claims = new List<Claim>();\r\n claims.Add(new Claim(ClaimTypes.NameIdentifier, ProviderKey, null, LoginProvider));\r\n\r\n if (UserName != null)\r\n {\r\n claims.Add(new Claim(ClaimTypes.Name, UserName, null, LoginProvider));\r\n }\r\n\r\n return claims;\r\n }\r\n\r\n public static ExternalLoginData FromIdentity(ClaimsIdentity identity)\r\n {\r\n if (identity == null)\r\n {\r\n return null;\r\n }\r\n\r\n Claim providerKeyClaim = identity.FindFirst(ClaimTypes.NameIdentifier);\r\n\r\n if (providerKeyClaim == null || String.IsNullOrEmpty(providerKeyClaim.Issuer)\r\n || String.IsNullOrEmpty(providerKeyClaim.Value))\r\n {\r\n return null;\r\n }\r\n\r\n if (providerKeyClaim.Issuer == ClaimsIdentity.DefaultIssuer)\r\n {\r\n return null;\r\n }\r\n\r\n return new ExternalLoginData\r\n {\r\n LoginProvider = providerKeyClaim.Issuer,\r\n ProviderKey = providerKeyClaim.Value,\r\n UserName = identity.FindFirstValue(ClaimTypes.Name)\r\n };\r\n }\r\n }\r\n\r\n private static class RandomOAuthStateGenerator\r\n {\r\n private static RandomNumberGenerator _random = new RNGCryptoServiceProvider();\r\n\r\n public static string Generate(int strengthInBits)\r\n {\r\n const int bitsPerByte = 8;\r\n\r\n if (strengthInBits % bitsPerByte != 0)\r\n {\r\n throw new ArgumentException(\"strengthInBits must be evenly divisible by 8.\", \"strengthInBits\");\r\n }\r\n\r\n int strengthInBytes = strengthInBits / bitsPerByte;\r\n\r\n byte[] data = new byte[strengthInBytes];\r\n _random.GetBytes(data);\r\n return HttpServerUtility.UrlTokenEncode(data);\r\n }\r\n }\r\n\r\n #endregion\r\n }\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-cr-ps1.txt",
|
||
"content": "\r\n# A line that ends only in CR(0x0D) and not LF (0x0A).\rforeach($parameterSet in $ObjInfoArray)\r\n{\r\n\t# This line also ends only in CR(0x0D) and not LF (0x0A).\r\tif ($parameterSet[\"class\"] -eq \"blank\")\r\n\t{\r\n\t\tif ($XenCenterNodeSelected)\r\n\t\t{\r\n\t\t\tcontinue\r\n\t\t}\r\n\t\t$XenCenterNodeSelected = 1;\r\t\t$SelectedObjectNames += \"XenCenter\"\r\n\t}\r\n}"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-dynamic.txt",
|
||
"content": "[Sun Mar 7 16:02:00 2004] [notice] Apache/1.3.29 (Unix) configured -- resuming normal operations\r\n[Sun Mar 7 16:02:00 2004] [info] Server built: Feb 27 2004 13:56:37\r\n[Sun Mar 7 16:02:00 2004] [notice] Accept mutex: sysvsem (Default: sysvsem)\r\n[Sun Mar 7 16:05:49 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 16:45:56 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 17:13:50 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 17:21:44 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 17:23:53 2004] statistics: Use of uninitialized value in concatenation (.) or string at /home/httpd/twiki/lib/TWiki.pm line 528.\r\n[Sun Mar 7 17:23:53 2004] statistics: Can't create file /home/httpd/twiki/data/Main/WebStatistics.txt - Permission denied\r\n[Sun Mar 7 17:27:37 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 17:31:39 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 17:58:00 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:00:09 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:10:09 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:19:01 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:42:29 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:52:30 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 18:58:52 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 19:03:58 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 19:08:55 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:04:35 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:11:33 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:12:55 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:25:31 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:44:48 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 20:58:27 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 21:16:17 2004] [error] [client xx.xx.xx.xx] File does not exist: /home/httpd/twiki/view/Main/WebHome\r\n[Sun Mar 7 21:20:14 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 21:31:12 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 21:39:55 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Sun Mar 7 21:44:10 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 01:35:13 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 01:47:06 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 01:59:13 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 02:12:24 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 02:54:54 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 03:46:27 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 03:48:18 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 03:52:17 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 03:55:09 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 04:22:55 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 04:24:47 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 04:40:32 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 04:55:40 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 04:59:13 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 05:22:57 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 05:24:29 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n[Mon Mar 8 05:31:47 2004] [info] [client xx.xx.xx.xx] (104)Connection reset by peer: client stopped connection before send body completed\r\n<11>httpd[31628]: [error] [client xx.xx.xx.xx] File does not exist: /usr/local/installed/apache/htdocs/squirrelmail/_vti_inf.html in 29-Mar 15:18:20.50 from xx.xx.xx.xx\r\n<11>httpd[25859]: [error] [client xx.xx.xx.xx] File does not exist: /usr/local/installed/apache/htdocs/squirrelmail/_vti_bin/shtml.exe/_vti_rpc in 29-Mar 15:18:20.54 from xx.xx.xx.xx"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-f12-css.txt",
|
||
"content": "@charset 'UTF-8'; audio,canvas,video {\r\n display: inline-block\r\n}\r\n\r\naudio:not([controls]) {\r\n display: none\r\n}\r\n\r\nhtml {\r\n height: 100%;\r\n font-size: 100%;\r\n -webkit-text-size-adjust: 100%;\r\n -ms-text-size-adjust: 100%;\r\n width: 100%\r\n}\r\n\r\nhtml,button,input,select,textarea {\r\n font-family: sans-serif;\r\n color: #222\r\n}\r\n\r\nbody {\r\n margin: 0;\r\n font-size: 1em;\r\n line-height: 1.4\r\n}\r\n\r\na {\r\n color: #0af;\r\n text-decoration: none\r\n}\r\n\r\na:visited {\r\n color: #4ec4ff\r\n}\r\n\r\na:hover {\r\n color: #1a95d2\r\n}\r\n\r\na:focus {\r\n outline: thin dotted\r\n}\r\n\r\na:hover,a:active {\r\n outline: 0\r\n}\r\n\r\nabbr[title] {\r\n border-bottom: 1px dotted\r\n}\r\n\r\nb,strong {\r\n font-weight: 700\r\n}\r\n\r\nblockquote {\r\n margin: 1em 40px\r\n}\r\n\r\nhr {\r\n display: block;\r\n height: 1px;\r\n border: 0;\r\n border-top: 1px solid #ccc;\r\n margin: 1em 0;\r\n padding: 0\r\n}\r\n\r\npre,code,kbd,samp {\r\n font-family: monospace,serif;\r\n font-size: 1em\r\n}\r\n\r\npre {\r\n white-space: pre;\r\n white-space: pre-wrap;\r\n word-wrap: break-word\r\n}\r\n\r\nsmall {\r\n font-size: 85%\r\n}\r\n\r\nsub,sup {\r\n font-size: 75%;\r\n line-height: 0;\r\n position: relative;\r\n vertical-align: baseline\r\n}\r\n\r\nsup {\r\n top: -.5em}sub{bottom:-.25em\r\n}\r\n\r\nul,ol {\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\ndd {\r\n margin: 0\r\n}\r\n\r\nnav ul,nav ol {\r\n list-style: none;\r\n list-style-image: none\r\n}\r\n\r\nimg {\r\n border: 0;\r\n vertical-align: middle\r\n}\r\n\r\n.lt-ie9 {\r\n -ms-interpolation-mode: bicubic;\r\n display: block\r\n}\r\n\r\nsvg:not(:root) {\r\n overflow: hidden\r\n}\r\n\r\nfigure {\r\n margin: 0\r\n}\r\n\r\nform {\r\n margin: 0\r\n}\r\n\r\nfieldset {\r\n border: 0;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\nlabel {\r\n cursor: pointer\r\n}\r\n\r\nlegend {\r\n border: 0;\r\n padding: 0;\r\n white-space: normal\r\n}\r\n\r\nbutton,input,select,textarea {\r\n font-size: 100%;\r\n margin: 0;\r\n vertical-align: baseline\r\n}\r\n\r\nbutton,input {\r\n line-height: normal\r\n}\r\n\r\nbutton,input[type=button],input[type=reset],input[type=submit] {\r\n cursor: pointer;\r\n -webkit-appearance: button\r\n}\r\n\r\nbutton[disabled],input[disabled] {\r\n cursor: default\r\n}\r\n\r\ninput[type=checkbox],input[type=radio] {\r\n box-sizing: border-box;\r\n padding: 0\r\n}\r\n\r\ninput[type=search] {\r\n -webkit-appearance: textfield;\r\n -moz-box-sizing: content-box;\r\n -webkit-box-sizing: content-box;\r\n box-sizing: content-box\r\n}\r\n\r\ninput[type=search]::-webkit-search-decoration,input[type=search]::-webkit-search-cancel-button {\r\n -webkit-appearance: none\r\n}\r\n\r\nbutton::-moz-focus-inner,input::-moz-focus-inner {\r\n border: 0;\r\n padding: 0\r\n}\r\n\r\ntextarea {\r\n overflow: auto;\r\n vertical-align: top;\r\n resize: vertical\r\n}\r\n\r\ninput:invalid,textarea:invalid {\r\n background-color: #f0dddd\r\n}\r\n\r\ntable {\r\n border-collapse: collapse;\r\n border-spacing: 0\r\n}\r\n\r\ntd {\r\n vertical-align: top\r\n}\r\n\r\n.chromeframe {\r\n margin: .2em 0;\r\n background: #ccc;\r\n color: #000;\r\n padding: .2em 0\r\n}\r\n\r\n.no-touch {\r\n text-rendering: geometricPrecision;\r\n -webkit-font-smoothing: antialiased;\r\n -moz-osx-font-smoothing: grayscale\r\n}\r\n\r\nbody {\r\n background: #f6f6f6 url(../../images/patterns/noise_f6f6f6.png) repeat top left;\r\n font-family: Arial,sans-serif;\r\n min-height: 100%;\r\n min-width: 980px;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\nbody.partner-background {\r\n background: #f6f6f6 url(../../images/patterns/noise.png)\r\n}\r\n\r\n@-moz-document url-prefix() {\r\n img {\r\n display: inline-block\r\n }\r\n}\r\n\r\n.clst-main-title,.static-page .page-content>.clst-main-title {\r\n color: #999;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 13px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 13px;\r\n margin: 0;\r\n padding: 14px 8px 10px;\r\n text-align: center;\r\n text-shadow: 0 1px 1px #fff;\r\n text-transform: uppercase\r\n}\r\n\r\n.clst-main-title {\r\n color: #fff;\r\n margin-left: 1px;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n width: 109px\r\n}\r\n\r\n.clst-section-name {\r\n color: #fff;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-weight: 400;\r\n font-size: 13px;\r\n letter-spacing: 1px;\r\n line-height: 13px;\r\n margin: 0;\r\n padding: 15px 5px 10px;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n text-transform: uppercase\r\n}\r\n\r\n.clst-section-name:visited {\r\n color: inherit\r\n}\r\n\r\np {\r\n margin: 0\r\n}\r\n\r\n.parent-label {\r\n background-color: #009bff;\r\n color: #fff;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 10px;\r\n font-weight: 400;\r\n left: 20px;\r\n letter-spacing: 1px;\r\n line-height: 9px;\r\n padding: 5px 6px 6px;\r\n position: absolute;\r\n text-transform: uppercase;\r\n top: 20px;\r\n z-index: 40\r\n}\r\n\r\n.parent-label:visited,.parent-label:hover {\r\n color: #fff\r\n}\r\n\r\n.hero .parent-label {\r\n z-index: 54\r\n}\r\n\r\n.avatar {\r\n box-shadow: 0 1px 1px 0 rgba(0,0,0,.4);\r\n border: 2px solid #fff;\r\n float: left;\r\n margin-right: 10px\r\n}\r\n\r\n.thumb {\r\n float: left;\r\n height: 80px;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.thumb>img {\r\n box-shadow: 0 2px 2px 0 rgba(0,0,0,.08);\r\n height: 80px;\r\n width: 80px\r\n}\r\n\r\n.avathumb {\r\n float: left;\r\n height: 92px;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.avathumb>img:first-child {\r\n box-shadow: 0 2px 2px 0 rgba(0,0,0,.08);\r\n height: 80px;\r\n margin-top: 12px;\r\n width: 80px\r\n}\r\n\r\n.avathumb>.avatar {\r\n float: none;\r\n margin: 0;\r\n position: absolute;\r\n right: 8px;\r\n top: 0\r\n}\r\n\r\n.byline {\r\n margin: 15px 0 15px 20px\r\n}\r\n\r\n.hero .byline-block .byline {\r\n margin: 0\r\n}\r\n\r\n.byline .author {\r\n display: block;\r\n font-size: 11px;\r\n font-weight: 700\r\n}\r\n\r\n.byline .author em {\r\n cursor: pointer;\r\n font-style: normal\r\n}\r\n\r\n.byline .author a>em:hover {\r\n text-decoration: underline\r\n}\r\n\r\n.byline.byline-nopub .author {\r\n padding-top: 7px\r\n}\r\n\r\n.byline .pub {\r\n display: block;\r\n font-size: 10px;\r\n margin-top: 1px;\r\n text-transform: uppercase;\r\n white-space: nowrap\r\n}\r\n\r\n.paginator-indicator {\r\n display: block;\r\n margin: 0;\r\n padding: 0;\r\n text-align: center\r\n}\r\n\r\n.paginator-indicator-target {\r\n cursor: pointer;\r\n display: inline-block;\r\n line-height: 12px;\r\n padding: 8px;\r\n margin: 2px\r\n}\r\n\r\n.no-touch .paginator-indicator-target:hover .paginator-indicator-bullet:after {\r\n background-color: #ebebeb;\r\n background-color: rgba(255,255,255,.1);\r\n border-radius: 4px;\r\n content: '';\r\n display: block;\r\n height: 8px;\r\n margin: 2px;\r\n width: 8px\r\n}\r\n\r\n.no-touch .paginator-indicator-target:hover .paginator-indicator-bullet.active:after {\r\n background-color: #fff\r\n}\r\n\r\n.paginator-indicator-bullet {\r\n background-color: #b2b2b2;\r\n background-color: rgba(0,0,0,.3);\r\n border-radius: 6px;\r\n box-shadow: 0 1px 0 0 rgba(255,255,255,.2),inset 0 1px 0 1px rgba(0,0,0,.3);\r\n display: inline-block;\r\n height: 12px;\r\n width: 12px\r\n}\r\n\r\n.paginator-indicator-bullet.active {\r\n cursor: default\r\n}\r\n\r\n.paginator-indicator-bullet.active:after {\r\n background-color: #fff;\r\n border-radius: 4px;\r\n box-shadow: 0 1px 0 1px rgba(0,0,0,.6);\r\n content: '';\r\n display: block;\r\n height: 8px;\r\n margin: 2px;\r\n width: 8px\r\n}\r\n\r\n.split-two {\r\n list-style: none;\r\n margin: 0;\r\n padding: 0;\r\n display: table\r\n}\r\n\r\n.table-row {\r\n display: table-row\r\n}\r\n\r\n.table-row>.clst-item {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n display: table-cell;\r\n padding: 20px;\r\n width: 50%;\r\n border: 0\r\n}\r\n\r\n.clst-text-meta {\r\n display: table\r\n}\r\n\r\n.meta-section-link,.clst-text-meta-timestamp {\r\n display: table-cell;\r\n vertical-align: top\r\n}\r\n\r\n.table-row>.split-two-odd {\r\n padding-right: 10px\r\n}\r\n\r\n.table-row>.split-two-even {\r\n padding-left: 10px\r\n}\r\n\r\n.ui-sprite-before:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 20px;\r\n width: 20px;\r\n position: relative;\r\n top: -4px;\r\n vertical-align: top\r\n}\r\n\r\n.ui-sprite-after:after {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 20px;\r\n width: 20px;\r\n position: relative;\r\n top: -4px;\r\n vertical-align: top\r\n}\r\n\r\n.ui-btn {\r\n background: 0;\r\n border: 1px solid;\r\n border-radius: 3px;\r\n box-shadow: 0 2px 0 0 rgba(0,0,0,.1);\r\n box-sizing: border-box;\r\n cursor: pointer;\r\n display: block;\r\n font: 700 13px Helvetica,Arial,sans-serif;\r\n height: 30px;\r\n line-height: 28px;\r\n padding: 0 20px;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.ui-btn.icon:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n position: relative;\r\n top: 5px;\r\n height: 20px;\r\n margin-right: 5px;\r\n overflow: hidden;\r\n width: 20px\r\n}\r\n\r\n.ui-light .ui-btn,.ui-light.ui-btn {\r\n background: #efefef;\r\n border-color: #bdbdbd;\r\n color: #666\r\n}\r\n\r\n.ui-dark .ui-btn,.ui-dark.ui-btn {\r\n background: #4c4c4c;\r\n border-color: #1e1e1e;\r\n color: #fff\r\n}\r\n\r\n.ui-light .ui-btn:hover,.ui-light.ui-btn:hover {\r\n background: #fafafa;\r\n border-color: #bdbdbd;\r\n color: #333\r\n}\r\n\r\n.ui-dark .ui-btn:hover,.ui-dark.ui-btn:hover {\r\n background: #606060;\r\n border-color: #1e1e1e;\r\n color: #fff\r\n}\r\n\r\n.ui-light .ui-btn:active,.ui-light.ui-btn:active {\r\n background: #fafafa;\r\n color: #333;\r\n box-shadow: inset 0 2px 3px 0 rgba(0,0,0,.17)\r\n}\r\n\r\n.ui-dark .ui-btn:active,.ui-dark.ui-btn:active {\r\n background: #606060;\r\n box-shadow: inset 0 2px 3px 0 rgba(0,0,0,.17)\r\n}\r\n\r\n.ui-light .ui-btn.active,.ui-light.ui-btn.active {\r\n background: gray;\r\n border-color: #757575;\r\n color: #fff\r\n}\r\n\r\n.ui-dark .ui-btn.active,.ui-dark.ui-btn.active {\r\n background: #292929;\r\n border-color: #1e1e1e;\r\n color: #fff\r\n}\r\n\r\n.ui-opaque .ui-btn,.ui-opaque.ui-btn {\r\n border: 1px solid;\r\n background: 0;\r\n box-shadow: none;\r\n text-shadow: none\r\n}\r\n\r\n.ui-light.ui-opaque .ui-btn,.ui-light.ui-opaque.ui-btn {\r\n background: #efefef;\r\n border-color: #bdbdbd;\r\n color: #666\r\n}\r\n\r\n.ui-dark.ui-opaque .ui-btn,.ui-dark.ui-opaque.ui-btn {\r\n background: #4c4c4c;\r\n border-color: #1e1e1e;\r\n color: #fff\r\n}\r\n\r\n.ui-chunky-btn {\r\n background: #21acff;\r\n background: -moz-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(33,172,255,1)),color-stop(100%,rgba(27,154,255,1)));\r\n background: -webkit-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -o-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: linear-gradient(to bottom,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#21acff', endColorstr='#1b9aff', GradientType=0);\r\n border: 1px solid #149cee;\r\n border-radius: 3px;\r\n box-shadow: 0 1px 1px rgba(0,0,0,.2);\r\n font-family: 'Futura Today Bold',helvetica,sans-serif;\r\n font-size: 13px;\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n height: 40px;\r\n line-height: 40px;\r\n padding: 0 20px;\r\n position: relative;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.1);\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n text-align: center\r\n}\r\n\r\n.ui-chunky-btn:hover,.ui-chunky-btn:visited,.ui-chunky-btn:active {\r\n color: #fff;\r\n background: #38b4ff;\r\n background: -moz-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(56,180,255,1)),color-stop(100%,rgba(46,164,255,1)));\r\n background: -webkit-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -o-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: linear-gradient(to bottom,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#38b4ff', endColorstr='#2ea4ff', GradientType=0)\r\n}\r\n\r\n.ui-chunky-btn:active {\r\n box-shadow: none\r\n}\r\n\r\n.ui-chunky-btn.secondary {\r\n background: #fff;\r\n background: -moz-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(255,255,255,1)),color-stop(100%,rgba(247,247,247,1)));\r\n background: -webkit-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -o-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: linear-gradient(to bottom,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#f7f7f7', GradientType=0);\r\n border-color: #d4d4d4;\r\n box-shadow: 0 1px 2px rgba(0,0,0,.1);\r\n color: #333\r\n}\r\n\r\n.ui-chunky-btn.secondary:hover {\r\n background: #fff\r\n}\r\n\r\n.ui-chunky-btn.secondary:active {\r\n background: #fff;\r\n box-shadow: none\r\n}\r\n\r\n.ui-chunky-btn.icon {\r\n padding-left: 50px;\r\n position: relative\r\n}\r\n\r\n.ui-chunky-btn.icon:before {\r\n content: '';\r\n height: 16px;\r\n left: 12px;\r\n position: absolute;\r\n top: 11px;\r\n width: 16px\r\n}\r\n\r\n.ui-chunky-btn.icon:after {\r\n content: '';\r\n display: block;\r\n height: 40px;\r\n left: 40px;\r\n position: absolute;\r\n top: 0;\r\n width: 1px;\r\n border-right: 1px solid #fff;\r\n background-color: #000;\r\n opacity: .1\r\n}\r\n\r\n.lt-ie9 .ui-chunky-btn.icon:after {\r\n background: transparent;\r\n zoom: 1;\r\n -ms-filter: \"progid:DXImageTransform.Microsoft.gradient(startColorstr=#4C000000, endColorstr=#4C000000)\";\r\n filter: alpha(opacity=10)\r\n}\r\n\r\n.ui-chunky-btn.cancel:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 0 -239px\r\n}\r\n\r\n.ui-chunky-btn.share:before {\r\n background: url(../../images/components/ft-share-btns.png) no-repeat 15px 9px\r\n}\r\n\r\n.ui-chunky-btn.send:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 0 -409px\r\n}\r\n\r\n.ui-chunky-btn.pdf:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 10px -470px\r\n}\r\n\r\n.fb-post-btn {\r\n background: #637bad;\r\n background: -moz-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#637bad),color-stop(100%,#5972a7));\r\n background: -webkit-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -o-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -ms-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: linear-gradient(to bottom,#637bad 0,#5972a7 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#637bad', endColorstr='#5972a7', GradientType=0);\r\n border: 1px solid #29447e;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.2);\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n font-family: 'lucida grande',tahoma,verdana,arial,sans-serif;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 13px;\r\n padding: 4px 12px 5px\r\n}\r\n\r\n.fb-post-btn:active {\r\n background: #4f6aa3\r\n}\r\n\r\nselect.ui-dropdown {\r\n display: none\r\n}\r\n\r\n.ui-dropdown {\r\n background: 0;\r\n display: block;\r\n font: 700 12px Helvetica,Arial,sans-serif;\r\n line-height: 20px;\r\n min-width: 64px;\r\n outline: -webkit-focus-ring-color none;\r\n position: relative;\r\n -webkit-touch-callout: none;\r\n -webkit-user-select: none;\r\n -moz-user-select: none;\r\n -ms-user-select: none;\r\n user-select: none;\r\n vertical-align: middle\r\n}\r\n\r\n.ui-dropdown:hover {\r\n cursor: pointer\r\n}\r\n\r\n.ui-dropdown-value {\r\n display: inline-block;\r\n font-size: 12px;\r\n line-height: 30px;\r\n overflow: hidden;\r\n padding: 0 40px 0 10px;\r\n position: relative;\r\n text-align: left;\r\n vertical-align: top;\r\n width: 100%\r\n}\r\n\r\n.ui-dropdown-value:after {\r\n background: url(../../images/sprites/ui-sprite.png) -165px -68px no-repeat;\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n top: 12px;\r\n right: 10px;\r\n height: 10px;\r\n width: 10px;\r\n z-index: 1\r\n}\r\n\r\n.ui-light .ui-dropdown-value {\r\n border-color: #bdbdbd;\r\n color: #666\r\n}\r\n\r\n.ui-light .ui-dropdown-value:after {\r\n background-position: -165px -48px\r\n}\r\n\r\n.ui-dropdown.ui-light:hover .ui-dropdown-value:after {\r\n background-position: -165px -68px\r\n}\r\n\r\n.ui-dropdown-value:before {\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n top: 2px;\r\n right: 29px;\r\n height: 24px;\r\n width: 1px;\r\n z-index: 9\r\n}\r\n\r\n.ui-dark .ui-dropdown-value {\r\n border-color: #bdbdbd\r\n}\r\n\r\n.ui-dark .ui-dropdown-value:after {\r\n background-position: -165px -8px\r\n}\r\n\r\n.ui-dark .ui-dropdown-value:before {\r\n border-left: 1px solid #3d3d3d;\r\n box-shadow: inset 1px 0 0 rgba(255,255,255,.1)\r\n}\r\n\r\n.ui-dropdown.ui-dark:hover .ui-dropdown-value:before {\r\n border-left-color: #4d4d4d\r\n}\r\n\r\n.ui-light .ui-dropdown-value:before {\r\n border-left: 1px solid #bfbfbf\r\n}\r\n\r\n.ui-dropdown.ui-light:hover .ui-dropdown-value:before {\r\n border-left-color: #c8c8c8\r\n}\r\n\r\n.ui-dropdown.expanded .ui-dropdown-value {\r\n border-bottom: 0;\r\n border-radius: 3px 3px 0 0\r\n}\r\n\r\n.ui-dropdown-content {\r\n border-radius: 0 0 3px 3px;\r\n border: 1px solid;\r\n border-top: 0;\r\n box-shadow: 0 2px 0 0 rgba(0,0,0,.1);\r\n box-sizing: border-box;\r\n display: none;\r\n margin: 0;\r\n overflow: hidden;\r\n padding: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 100\r\n}\r\n\r\n.ui-dropdown.expanded .ui-dropdown-content {\r\n display: block;\r\n max-height: 180px\r\n}\r\n\r\n.ui-dark .ui-dropdown-content {\r\n background: #606060;\r\n border-color: #bdbdbd\r\n}\r\n\r\n.ui-light .ui-dropdown-content {\r\n background: #fafafa;\r\n border-color: #bdbdbd\r\n}\r\n\r\n.ui-dropdown-scroll-wrap {\r\n max-height: 120px\r\n}\r\n\r\n.ui-dropdown-items-container {\r\n list-style: none;\r\n -webkit-touch-callout: none;\r\n -webkit-user-select: none;\r\n -moz-user-select: none;\r\n -ms-user-select: none;\r\n user-select: none;\r\n display: block;\r\n position: relative\r\n}\r\n\r\n.ui-dropdown-item {\r\n display: block;\r\n cursor: pointer;\r\n width: 100%;\r\n white-space: nowrap;\r\n position: relative;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n.ui-dropdown-item-without-link {\r\n padding: 5px 10px\r\n}\r\n\r\n.ui-dropdown-item-link {\r\n padding: 5px 10px\r\n}\r\n\r\n.ui-dropdown-item:first-child {\r\n border-radius: 3px 3px 0 0\r\n}\r\n\r\n.ui-dropdown-item:last-child {\r\n border-radius: 0 0 3px 3px\r\n}\r\n\r\n.ui-dropdown-item-link {\r\n display: block\r\n}\r\n\r\n.ui-dropdown-item-link:first-child {\r\n border-top-left-radius: 3px;\r\n border-top-right-radius: 3px\r\n}\r\n\r\n.ui-dropdown-item-link:last-child {\r\n border-bottom-left-radius: 3px;\r\n border-bottom-right-radius: 3px\r\n}\r\n\r\n.ui-light .ui-dropdown-item,.ui-light .ui-dropdown-item-link {\r\n color: #666\r\n}\r\n\r\n.ui-light .ui-dropdown-item-selected {\r\n background-color: #f2f2f2\r\n}\r\n\r\n.ui-light .ui-dropdown-item-highlight {\r\n background-color: #e6e6e6\r\n}\r\n\r\n.ui-dark .ui-dropdown-item,.ui-dark .ui-dropdown-item-link {\r\n color: #fff\r\n}\r\n\r\n.ui-dark .ui-dropdown-item-selected {\r\n background-color: #393939\r\n}\r\n\r\n.ui-dark .ui-dropdown-item-highlight {\r\n background-color: gray\r\n}\r\n\r\n.ui-button-toggle {\r\n display: block;\r\n margin: 0;\r\n padding: 0;\r\n list-style: none;\r\n box-shadow: inset 0 2px 6px 0 rgba(0,0,0,.5);\r\n width: auto;\r\n border-radius: 3px\r\n}\r\n\r\nselect.ui-button-toggle {\r\n display: none\r\n}\r\n\r\n.ui-button-toggle-item {\r\n padding-right: 12px;\r\n padding-left: 12px;\r\n border-radius: 0;\r\n display: inline-block;\r\n border-left-width: 0;\r\n position: relative;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n vertical-align: top\r\n}\r\n\r\n.ui-button-toggle-item.active {\r\n cursor: default;\r\n border-color: transparent;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.4)\r\n}\r\n\r\n.ui-button-toggle-item:hover {\r\n box-shadow: none\r\n}\r\n\r\n.ui-button-toggle-item.active:hover {\r\n cursor: default\r\n}\r\n\r\n.ui-button-toggle-item:first-child {\r\n border-bottom-left-radius: 3px;\r\n border-top-left-radius: 3px;\r\n border-left-width: 1px\r\n}\r\n\r\n.ui-button-toggle-item:last-child {\r\n border-bottom-right-radius: 3px;\r\n border-top-right-radius: 3px\r\n}\r\n\r\n.ui-button-toggle-item.active .ui-button-toggle-item-link {\r\n cursor: default\r\n}\r\n\r\n.ui-button-toggle-item-link {\r\n display: inline-block;\r\n vertical-align: top\r\n}\r\n\r\n.ui-light.ui-button-toggle,.ui-light .ui-button-toggle {\r\n background-color: gray\r\n}\r\n\r\n.ui-light .ui-button-toggle-item {\r\n color: #666\r\n}\r\n\r\n.ui-light .ui-button-toggle-item.ui-btn {\r\n box-shadow: none\r\n}\r\n\r\n.ui-light .ui-button-toggle-item.active,.ui-light .ui-button-toggle-item.active:hover {\r\n background: transparent;\r\n color: #fff\r\n}\r\n\r\n.ui-light .ui-button-toggle-item.active a,.ui-light .ui-button-toggle-item.active .toggle-item-link {\r\n color: #fff;\r\n border-color: rgba(95,95,95,.5)\r\n}\r\n\r\n.ui-light .ui-button-toggle-item.active:first-child {\r\n border-right: 1px solid #5f5f5f\r\n}\r\n\r\n.ui-light .ui-button-toggle-item-link {\r\n color: #666\r\n}\r\n\r\n.ui-light .ui-button-toggle-item.active .ui-button-toggle-item-link {\r\n color: #fff\r\n}\r\n\r\n.ui-dark.ui-button-toggle,.ui-dark .ui-button-toggle {\r\n background-color: #141414\r\n}\r\n\r\n.ui-dark .ui-button-toggle-item:hover {\r\n background: #2c2c2c\r\n}\r\n\r\n.ui-dark .ui-button-toggle-item.active,.ui-dark .ui-button-toggle-item.active:hover {\r\n background: transparent;\r\n box-shadow: none\r\n}\r\n\r\n.ui-dark .ui-button-toggle-item-link {\r\n color: #ccc\r\n}\r\n\r\n.ui-dark .ui-button-toggle-item.active .ui-button-toggle-item-link {\r\n color: #fff;\r\n border-color: transparent\r\n}\r\n\r\n.ui-slider {\r\n width: auto;\r\n height: 35px\r\n}\r\n\r\n.ui-light.ui-slider .ui-slider-bar {\r\n border-radius: 20px;\r\n display: inline-block;\r\n height: 14px;\r\n margin: 10px 0;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.ui-light.ui-slider .ui-slider-bar {\r\n background: gray;\r\n box-shadow: inset 0 1px 3px rgba(0,0,0,.6),0 1px 0 #fff\r\n}\r\n\r\n.ui-slider .ui-btn.ui-slider-handle {\r\n border-radius: 24px;\r\n display: block;\r\n width: 26px;\r\n height: 26px;\r\n padding: 0;\r\n position: absolute;\r\n top: -7px;\r\n margin-left: -15px;\r\n outline: 0\r\n}\r\n\r\n.ui-slider .ui-btn.ui-slider-handle:before {\r\n background: #6c6c6c;\r\n border-radius: 8px;\r\n box-shadow: 0 1px 1px rgba(255,255,255,.4),inset 0 1px 0 rgba(0,0,0,.3);\r\n content: '';\r\n display: block;\r\n height: 8px;\r\n left: 8px;\r\n position: absolute;\r\n top: 8px;\r\n width: 8px\r\n}\r\n\r\n.ui-light.ui-slider .ui-btn.ui-slider-handle {\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.4),inset 0 -1px 0 rgba(255,255,255,.2),0 2px 4px rgba(0,0,0,.5)\r\n}\r\n\r\n.ui-slider .ui-label-left {\r\n margin-right: 16px\r\n}\r\n\r\n.ui-slider .ui-label-right {\r\n margin-left: 16px;\r\n text-align: left;\r\n width: 34px\r\n}\r\n\r\n.ui-zoom {\r\n display: inline-block;\r\n position: relative\r\n}\r\n\r\n.ui-zoom:before {\r\n background: gray;\r\n box-shadow: inset 0 0 3px rgba(0,0,0,.25);\r\n content: '';\r\n display: block;\r\n height: 26px;\r\n left: 16px;\r\n position: absolute;\r\n top: 3px;\r\n width: 30px\r\n}\r\n\r\n.ui-zoom .ui-btn {\r\n border-radius: 30px;\r\n float: left;\r\n padding: 0;\r\n position: relative;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n width: 30px\r\n}\r\n\r\n.ui-zoom .ui-btn:before {\r\n background-image: url(../../images/sprites/ui-sprite.png);\r\n background-repeat: no-repeat;\r\n content: '';\r\n display: block;\r\n height: 20px;\r\n left: 5px;\r\n position: absolute;\r\n top: 4px;\r\n width: 20px\r\n}\r\n\r\n.ui-zoom .ui-btn.plus-icon:before {\r\n background-position: -80px -40px\r\n}\r\n\r\n.ui-zoom .ui-btn.minus-icon:before {\r\n background-position: -60px -40px;\r\n top: 5px\r\n}\r\n\r\n.ui-text-input,.ui-textarea {\r\n border: 1px solid #e3e3e3;\r\n border-radius: 3px;\r\n box-shadow: inset 0 1px 0 rgba(0,0,0,.06);\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #666;\r\n display: block;\r\n font: 400 12px Helvetica,Arial,sans-serif;\r\n line-height: normal;\r\n padding: 0 10px;\r\n width: auto\r\n}\r\n\r\n.ui-textarea {\r\n padding: 10px\r\n}\r\n\r\n.ui-text-input {\r\n height: 30px\r\n}\r\n\r\n.ui-text-input[placeholder]:focus::-webkit-input-placeholder,.ui-textarea[placeholder]:focus::-webkit-input-placeholder {\r\n transition: opacity .5s .5s ease;\r\n opacity: 0\r\n}\r\n\r\n.ui-text-input:required,.ui-textarea:required {\r\n background-color: #fff\r\n}\r\n\r\n.lt-ie9 .ui-text-input {\r\n padding-top: 5px\r\n}\r\n\r\n.ui-text-input-placeholder {\r\n color: #ccc\r\n}\r\n\r\n.ui-form-field-container {\r\n position: relative;\r\n width: auto\r\n}\r\n\r\n.ui-form-field-label.hidden-label {\r\n position: absolute;\r\n overflow: hidden;\r\n clip: rect(0 0 0 0);\r\n height: 1px;\r\n width: 1px;\r\n margin: -1px;\r\n padding: 0;\r\n border: 0\r\n}\r\n\r\n.ui-text-input-clear-btn {\r\n display: none;\r\n width: 15px;\r\n height: 0;\r\n overflow: hidden;\r\n padding-top: 15px;\r\n position: absolute;\r\n right: 8px;\r\n top: 8px;\r\n background: url(../../images/modules/forms/forms-sprite2.png) no-repeat -7px -7px transparent;\r\n z-index: 6;\r\n cursor: pointer\r\n}\r\n\r\ninput.ui-checkbox {\r\n display: none\r\n}\r\n\r\n.ui-checkbox {\r\n cursor: pointer;\r\n font-size: 13px;\r\n font-weight: 400;\r\n height: 30px;\r\n line-height: 30px;\r\n padding-left: 24px;\r\n position: relative\r\n}\r\n\r\n.ui-checkbox-checked:after {\r\n content: \"\";\r\n display: block;\r\n position: absolute;\r\n height: 16px;\r\n width: 16px;\r\n top: 6px;\r\n left: 0;\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat scroll -1062px -2px transparent\r\n}\r\n\r\n.ui-light .ui-checkbox {\r\n color: #666\r\n}\r\n\r\ninput.ui-radio {\r\n display: none\r\n}\r\n\r\n.ui-radio {\r\n color: #333;\r\n cursor: pointer;\r\n font-size: 13px;\r\n font-weight: 400;\r\n height: 30px;\r\n line-height: 30px;\r\n padding-left: 24px;\r\n position: relative\r\n}\r\n\r\n.ui-radio:before {\r\n background: #fff;\r\n border: 1px solid #e3e3e3;\r\n border-radius: 24px;\r\n content: \"\";\r\n display: block;\r\n height: 16px;\r\n left: 0;\r\n position: absolute;\r\n top: 6px;\r\n width: 16px\r\n}\r\n\r\n.ui-radio-selected:after {\r\n background: #009aff;\r\n border-radius: 6px;\r\n content: '';\r\n display: block;\r\n height: 8px;\r\n left: 5px;\r\n position: absolute;\r\n top: 11px;\r\n width: 8px\r\n}\r\n\r\n.ui-text-input.ui-form-field-error,.ui-textarea.ui-form-field-error,.ui-dropdown.ui-form-field-error {\r\n border: 1px solid #ff290a\r\n}\r\n\r\n.ui-autocomplete-container {\r\n position: relative;\r\n width: auto\r\n}\r\n\r\n.ui-autocomplete-content {\r\n border: 1px solid transparent;\r\n border-top: 0;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n left: 0;\r\n position: absolute;\r\n top: 30px;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.ui-autocomplete-result-container {\r\n border: 0;\r\n display: block;\r\n list-style: none;\r\n margin: 0;\r\n width: 100%\r\n}\r\n\r\n.ui-autocomplete-result-item {\r\n background: #000;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n border-top: 1px solid #000;\r\n border-bottom: 1px solid #282828;\r\n box-sizing: border-box;\r\n color: #fff;\r\n cursor: pointer;\r\n display: block;\r\n font: 400 13px Helvetica,Arial,sans-serif;\r\n line-height: 16px;\r\n padding: 8px 10px;\r\n width: 100%\r\n}\r\n\r\n.ui-autocomplete-result-item:first-child {\r\n border-top: 0\r\n}\r\n\r\n.ui-autocomplete-result-item:last-child {\r\n border-bottom-right-radius: 4px;\r\n border-bottom-left-radius: 4px;\r\n border-bottom: 0\r\n}\r\n\r\n.ui-autocomplete-result-hover,.ui-autocomplete-result-item:hover {\r\n background: #0af;\r\n cursor: pointer\r\n}\r\n\r\n.ui-autocomplete-result-item:active {\r\n color: #666;\r\n background: #fff\r\n}\r\n\r\n.ui-light .ui-autocomplete-result-item {\r\n background: #f2f2f2;\r\n border-bottom-color: #dfdfdf;\r\n border-top-color: #fcfcfc;\r\n color: #666\r\n}\r\n\r\n.ui-light .ui-autocomplete-result-hover,.ui-light .ui-autocomplete-result-item:hover {\r\n background: #999;\r\n color: #fff\r\n}\r\n\r\n.ui-loading {\r\n background-position: 48% 45%!important;\r\n background-repeat: no-repeat!important;\r\n background-color: transparent;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.ui-loading.light-small {\r\n background-image: url(../../images/preloaders/windmill-loader_1x_light.gif)!important\r\n}\r\n\r\n.ui-loading.dark-small {\r\n background-image: url(../../images/preloaders/windmill-loader_1x_dark.gif)!important;\r\n background-color: #000\r\n}\r\n\r\n.ui-loading.light-medium {\r\n background-image: url(../../images/preloaders/windmill-loader_2x_light.gif)!important\r\n}\r\n\r\n.ui-loading.dark-medium {\r\n background-image: url(../../images/preloaders/windmill-loader_2x_dark.gif)!important;\r\n background-color: #000\r\n}\r\n\r\n.ui-loading.dark-large {\r\n background-image: url(../../images/preloaders/windmill-loader_3x_dark.gif)!important\r\n}\r\n\r\n.ui-loader {\r\n display: block;\r\n -moz-transition: all 200ms linear;\r\n -o-transition: all 200ms linear;\r\n -ms-transition: all 200ms linear;\r\n opacity: 0;\r\n visibility: hidden\r\n}\r\n\r\n.ui-loader.active {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.ui-alert {\r\n border-radius: 8px;\r\n font-weight: 700;\r\n top: -200px;\r\n position: fixed;\r\n left: 43%;\r\n z-index: 10000;\r\n background: #ff0;\r\n padding: 10px 15px;\r\n font-size: 12px;\r\n color: #222;\r\n display: none;\r\n -webkit-transition: top .25s linear;\r\n -moz-transition: top .25s linear;\r\n -o-transition: top .25s linear;\r\n -ms-transition: top .25s linear;\r\n transition: top .25s linear\r\n}\r\n\r\n.ui-alert .close-btn {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -1020px 0;\r\n cursor: pointer;\r\n height: 21px;\r\n margin-top: -9px;\r\n position: absolute;\r\n right: -10px;\r\n top: 0;\r\n width: 20px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap\r\n}\r\n\r\n.ui-alert.active {\r\n display: block;\r\n top: 300px\r\n}\r\n\r\n.ui-alert.error {\r\n background: red;\r\n color: #fff\r\n}\r\n\r\n.ui-alert .prompt a {\r\n cursor: pointer;\r\n display: inline-block;\r\n margin-left: .5em\r\n}\r\n\r\n.scrollable-content {\r\n position: relative\r\n}\r\n\r\n.vertical-scroll {\r\n border-radius: 4px;\r\n height: 100%;\r\n position: absolute;\r\n right: 2px;\r\n top: 0;\r\n width: 7px\r\n}\r\n\r\n.vertical-scroll.hide {\r\n display: none\r\n}\r\n\r\n.vertical-scroll>.scrolldragger {\r\n background: #333;\r\n border-radius: 4px;\r\n opacity: .7;\r\n padding: 0;\r\n position: relative;\r\n width: 7px;\r\n -moz-transition: opacity .35s ease-out;\r\n -ms-transition: opacity .35s ease-out;\r\n -o-transition: opacity .35s ease-out\r\n}\r\n\r\n.vertical-scroll.ui-light>.scrolldragger {\r\n background: #828282\r\n}\r\n\r\n.vertical-scroll.ui-lighter>.scrolldragger {\r\n background: #e6e6e6\r\n}\r\n\r\n.vertical-scroll.ui-dark>.scrolldragger {\r\n background: #333\r\n}\r\n\r\n.scrolldragger {\r\n cursor: pointer;\r\n position: relative\r\n}\r\n\r\n.vertical-scroll.fadeout>.scrolldragger {\r\n opacity: 0\r\n}\r\n\r\n.vertical-scroll.fadeout.active>.scrolldragger {\r\n opacity: .7\r\n}\r\n\r\n.vertical-scroll>.scrolldragger.hover>.over-icon {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat 0 0;\r\n height: 14px;\r\n left: 50%;\r\n margin: -7px 0 0 -3px;\r\n position: absolute;\r\n top: 50%;\r\n width: 6px\r\n}\r\n\r\n.ui-dark.vertical-scroll>.scrolldragger {\r\n background: #333\r\n}\r\n\r\n.ui-dark.vertical-scroll>.scrolldragger {\r\n background: #ececec\r\n}\r\n\r\n.ui-lighter.vertical-scroll {\r\n background-color: #ececec;\r\n border: 1px solid #e4e4e4;\r\n border-radius: 5px;\r\n height: 100%;\r\n top: 0;\r\n right: 5px\r\n}\r\n\r\n.ui-lighter.vertical-scroll .scrolldragger {\r\n background-color: #f7f7f7;\r\n border: 1px solid #ccc;\r\n border-radius: 10px;\r\n margin-left: -1px;\r\n min-height: 15px;\r\n opacity: 1;\r\n width: 7px\r\n}\r\n\r\n.ui-lighter.vertical-scroll .scrolldragger.hover {\r\n width: 12px;\r\n margin-left: -4px\r\n}\r\n\r\n.ui-lighter.vertical-scroll .scrolldragger>.over-icon {\r\n display: none\r\n}\r\n\r\n.ui-lighter.vertical-scroll .scrolldragger.hover>.over-icon {\r\n display: block;\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat 0 0;\r\n background-position: -767px -22px;\r\n width: 12px\r\n}\r\n\r\n.horizontal-scroll {\r\n border-radius: 2px;\r\n height: 7px;\r\n left: 1px;\r\n position: absolute;\r\n bottom: 12px;\r\n width: 100%\r\n}\r\n\r\n.horizontal-scroll>.scrolldragger {\r\n border-radius: 3px;\r\n height: 6px;\r\n margin: -1px 0 0 0;\r\n padding: 0;\r\n width: 150px\r\n}\r\n\r\n.horizontal-scroll>.scrolldragger.hover {\r\n border-radius: 8px;\r\n height: 12px;\r\n margin: -4px 0 0 0\r\n}\r\n\r\n.horizontal-scroll>.scrolldragger.hover>.over-icon {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat 0 0;\r\n height: 6px;\r\n margin: 3px auto;\r\n width: 14px\r\n}\r\n\r\n.ui-dark.horizontal-scroll {\r\n background: #111;\r\n background: rgba(0,0,0,.4);\r\n border-bottom: solid 1px #000;\r\n border-bottom: solid 1px rgba(0,0,0,.1);\r\n border-top: solid 1px #000;\r\n border-top: solid 1px rgba(0,0,0,.29)\r\n}\r\n\r\n.ui-dark.horizontal-scroll>.scrolldragger {\r\n background: #333;\r\n border: solid 1px #000;\r\n box-shadow: inset 0 1px 0 0 #484848\r\n}\r\n\r\n.ui-dark.horizontal-scroll>.scrolldragger.hover>.over-icon {\r\n background-position: -763px -47px\r\n}\r\n\r\n.ui-light.horizontal-scroll {\r\n background: #ececec;\r\n border-bottom: solid 1px #fff;\r\n box-shadow: inset 0 1px 0 0 #e3e3e3;\r\n border-top: solid 1px #d2d2d2\r\n}\r\n\r\n.ui-light.horizontal-scroll>.scrolldragger {\r\n background: #f7f7f7;\r\n border: solid 1px #c9c9c9;\r\n box-shadow: inset 0 1px 0 0 #fff\r\n}\r\n\r\n.ui-light.horizontal-scroll>.scrolldragger.hover>.over-icon {\r\n background-position: -763px -7px\r\n}\r\n\r\n/*!\r\n * jQuery UI Datepicker 1.8.23\r\n *\r\n * Copyright 2012, AUTHORS.txt (http://jqueryui.com/about)\r\n * Dual licensed under the MIT or GPL Version 2 licenses.\r\n * http://jquery.org/license\r\n *\r\n * http://docs.jquery.com/UI/Datepicker#theming\r\n */\r\n.ui-datepicker {\r\n padding: 6px 6px 6px 5px;\r\n display: none;\r\n border-radius: 3px;\r\n width: 220px;\r\n box-shadow: 0 2px 9px rgba(0,0,0,.8);\r\n position: relative\r\n}\r\n\r\n.ui-datepicker:after {\r\n content: '';\r\n display: block;\r\n height: 17px;\r\n position: absolute;\r\n left: 50%;\r\n margin-left: -19px;\r\n top: -17px;\r\n width: 39px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-header {\r\n position: relative;\r\n padding: 0;\r\n background: 0;\r\n border: 0\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-prev,.ui-datepicker .ui-datepicker-next {\r\n position: absolute;\r\n top: 2px;\r\n width: 1.8em;\r\n height: 1.8em;\r\n display: none\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-prev-hover,.ui-datepicker .ui-datepicker-next-hover {\r\n top: 1px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-prev {\r\n left: 2px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-next {\r\n right: 2px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-prev-hover {\r\n left: 1px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-next-hover {\r\n right: 1px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-prev span,.ui-datepicker .ui-datepicker-next span {\r\n display: block;\r\n position: absolute;\r\n left: 50%;\r\n margin-left: -8px;\r\n top: 50%;\r\n margin-top: -8px\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-title {\r\n margin: 0;\r\n line-height: 0;\r\n text-align: center\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-title select {\r\n font-size: 1em;\r\n margin: 1px 0\r\n}\r\n\r\n.ui-datepicker select.ui-datepicker-month-year {\r\n width: 100%\r\n}\r\n\r\n.ui-datepicker select.ui-datepicker-month,.ui-datepicker select.ui-datepicker-year {\r\n width: 49%;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.ui-datepicker table {\r\n width: 100%;\r\n font-size: 10px;\r\n border-collapse: collapse;\r\n margin: 0;\r\n font-weight: 700\r\n}\r\n\r\n.ui-datepicker th {\r\n padding: .7em .3em;\r\n text-align: center;\r\n font-weight: 700;\r\n border: 0\r\n}\r\n\r\n.ui-datepicker td {\r\n padding: 0\r\n}\r\n\r\n.ui-datepicker td.ui-datepicker-current-day,.ui-datepicker td.ui-datepicker-current-day.ui-datepicker-today {\r\n box-shadow: inset 0 1px 3px rgba(0,0,0,.5);\r\n border-width: 0\r\n}\r\n\r\n.ui-datepicker td.ui-datepicker-today {\r\n box-shadow: inset 0 1px 0 #5c5c5c;\r\n border-width: 1px\r\n}\r\n\r\n.ui-datepicker td span,.ui-datepicker td a {\r\n display: block;\r\n padding: 0;\r\n height: 25px;\r\n line-height: 25px;\r\n text-align: center;\r\n text-decoration: none;\r\n border: 2px solid transparent\r\n}\r\n\r\n.ui-datepicker .ui-state-default,.ui-datepicker .ui-widget-content .ui-state-default,.ui-datepicker .ui-widget-header .ui-state-default {\r\n background: 0;\r\n border: 2px solid transparent;\r\n font-weight: 700\r\n}\r\n\r\n.ui-datepicker .ui-state-highlight,.ui-datepicker .ui-widget-content .ui-state-highlight,.ui-datepicker .ui-widget-header .ui-state-highlight {\r\n background: 0;\r\n box-shadow: none\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-buttonpane {\r\n background-image: none;\r\n margin: .7em 0 0;\r\n padding: 0 .2em;\r\n border-left: 0;\r\n border-right: 0;\r\n border-bottom: 0\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-buttonpane button {\r\n float: right;\r\n margin: .5em .2em .4em;\r\n cursor: pointer;\r\n padding: .2em .6em .3em;\r\n width: auto;\r\n overflow: visible\r\n}\r\n\r\n.ui-datepicker .ui-datepicker-buttonpane button.ui-datepicker-current {\r\n float: left\r\n}\r\n\r\n.ui-datepicker.ui-datepicker-multi {\r\n width: auto\r\n}\r\n\r\n.ui-datepicker-multi .ui-datepicker-group {\r\n float: left\r\n}\r\n\r\n.ui-datepicker-multi .ui-datepicker-group table {\r\n width: 95%;\r\n margin: 0 auto .4em\r\n}\r\n\r\n.ui-datepicker-multi-2 .ui-datepicker-group {\r\n width: 50%\r\n}\r\n\r\n.ui-datepicker-multi-3 .ui-datepicker-group {\r\n width: 33.3%\r\n}\r\n\r\n.ui-datepicker-multi-4 .ui-datepicker-group {\r\n width: 25%\r\n}\r\n\r\n.ui-datepicker-multi .ui-datepicker-group-last .ui-datepicker-header {\r\n border-left-width: 0\r\n}\r\n\r\n.ui-datepicker-multi .ui-datepicker-group-middle .ui-datepicker-header {\r\n border-left-width: 0\r\n}\r\n\r\n.ui-datepicker-multi .ui-datepicker-buttonpane {\r\n clear: left\r\n}\r\n\r\n.ui-datepicker-row-break {\r\n clear: both;\r\n width: 100%;\r\n font-size: 0\r\n}\r\n\r\n.ui-datepicker-rtl {\r\n direction: rtl\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-prev {\r\n right: 2px;\r\n left: auto\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-next {\r\n left: 2px;\r\n right: auto\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-prev:hover {\r\n right: 1px;\r\n left: auto\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-next:hover {\r\n left: 1px;\r\n right: auto\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-buttonpane {\r\n clear: right\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-buttonpane button {\r\n float: left\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-buttonpane button.ui-datepicker-current {\r\n float: right\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-group {\r\n float: right\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-group-last .ui-datepicker-header {\r\n border-right-width: 0;\r\n border-left-width: 1px\r\n}\r\n\r\n.ui-datepicker-rtl .ui-datepicker-group-middle .ui-datepicker-header {\r\n border-right-width: 0;\r\n border-left-width: 1px\r\n}\r\n\r\n.ui-datepicker-cover {\r\n position: absolute;\r\n z-index: -1;\r\n filter: mask();\r\n top: -4px;\r\n left: -4px;\r\n width: 200px;\r\n height: 200px\r\n}\r\n\r\n.ui-date-picker {\r\n display: inline-block;\r\n position: relative\r\n}\r\n\r\ninput.ui-date-picker {\r\n border-radius: 3px;\r\n border: 0;\r\n height: 30px;\r\n font-weight: 700;\r\n font-size: 12px;\r\n line-height: 12px;\r\n outline: 0;\r\n padding: 0 10px\r\n}\r\n\r\n.ui-date-picker-btn {\r\n border-width: 1px;\r\n border-style: solid;\r\n border-radius: 2px;\r\n content: '';\r\n cursor: pointer;\r\n display: block;\r\n height: 28px;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: 33px\r\n}\r\n\r\n.ui-date-picker-btn:hover .ui-date-picker-btn:after {\r\n opacity: 1\r\n}\r\n\r\n.ui-date-picker-btn:after {\r\n content: '';\r\n display: block;\r\n height: 20px;\r\n left: 6px;\r\n opacity: .8;\r\n position: absolute;\r\n top: 4px;\r\n width: 20px\r\n}\r\n\r\n.ui-date-picker-calendar-panel .ui-datepicker-unselectable {\r\n opacity: .3\r\n}\r\n\r\n.ui-date-picker-calendar-panel .ui-datepicker-title {\r\n font-weight: 700;\r\n font-size: 14px;\r\n line-height: normal\r\n}\r\n\r\n.ui-date-picker-calendar-panel .ui-datepicker-title select {\r\n font-size: 16px\r\n}\r\n\r\n.ui-dark .ui-date-picker-btn,.ui-dark.ui-date-picker-btn {\r\n background: #3a3a3a;\r\n background: -moz-linear-gradient(top,#3a3a3a 0,#2e2e2e 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#3a3a3a),color-stop(100%,#2e2e2e));\r\n background: -webkit-linear-gradient(top,#3a3a3a 0,#2e2e2e 100%);\r\n background: -o-linear-gradient(top,#3a3a3a 0,#2e2e2e 100%);\r\n background: -ms-linear-gradient(top,#3a3a3a 0,#2e2e2e 100%);\r\n background: linear-gradient(to bottom,#3a3a3a 0,#2e2e2e 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#3a3a3a', endColorstr='#2e2e2e', GradientType=0)\r\n}\r\n\r\n.ui-dark .ui-date-picker-btn:after,.ui-dark.ui-date-picker-btn:after {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -860px 0\r\n}\r\n\r\n.ui-dark .ui-date-picker-btn:hover,.ui-dark.ui-date-picker-btn:hover {\r\n background: #474747;\r\n background: -moz-linear-gradient(top,#474747 0,#3b3b3b 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#474747),color-stop(100%,#3b3b3b));\r\n background: -webkit-linear-gradient(top,#474747 0,#3b3b3b 100%);\r\n background: -o-linear-gradient(top,#474747 0,#3b3b3b 100%);\r\n background: -ms-linear-gradient(top,#474747 0,#3b3b3b 100%);\r\n background: linear-gradient(to bottom,#474747 0,#3b3b3b 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#474747', endColorstr='#3b3b3b', GradientType=0)\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel {\r\n background: #333;\r\n border-color: #0b0b0b\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-datepicker-title {\r\n color: #f4f4f4\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel:after {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -100px -233px\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel th {\r\n color: #868686\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel td {\r\n border: 1px solid #292929;\r\n box-shadow: inset 0 1px 0 #3a3a3a\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel td.ui-datepicker-current-day,.ui-dark.ui-date-picker-calendar-panel td.ui-datepicker-current-day.ui-datepicker-today {\r\n background: #1a90e5;\r\n border-width: 0\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel td.ui-datepicker-today {\r\n background: #424242\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-state-default,.ui-dark.ui-date-picker-calendar-panel .ui-widget-content .ui-state-default,.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default {\r\n color: #fff\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default {\r\n color: #fff\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-state-default:hover,.ui-dark.ui-date-picker-calendar-panel .ui-widget-content .ui-state-default:hover,.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default:hover {\r\n border-color: #fff\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary {\r\n background: #262626;\r\n color: #515151\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-priority-secondary,.ui-dark.ui-date-picker-calendar-panel .ui-widget-content .ui-priority-secondary,.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary {\r\n background: #262626;\r\n color: #515151;\r\n box-shadow: 0 0 1px #000\r\n}\r\n\r\n.ui-dark.ui-date-picker-calendar-panel .ui-priority-secondary:hover,.ui-dark.ui-date-picker-calendar-panel .ui-widget-content .ui-priority-secondary:hover,.ui-dark.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary:hover {\r\n color: #fff\r\n}\r\n\r\n.ui-light .ui-date-picker-btn,.ui-light.ui-date-picker-btn {\r\n background: #fff;\r\n background: -moz-linear-gradient(#fefefe,#e8e8e8);\r\n background: -ms-linear-gradient(#fefefe,#e8e8e8);\r\n background: -o-linear-gradient(#fefefe,#e8e8e8);\r\n background: -webkit-linear-gradient(#fefefe,#e8e8e8);\r\n background: linear-gradient(#fefefe,#e8e8e8);\r\n border: 1px solid #838383\r\n}\r\n\r\n.ui-light .ui-date-picker-btn:hover,.ui-light.ui-date-picker-btn:hover {\r\n background: #bcbcbc\r\n}\r\n\r\n.ui-light .ui-date-picker-btn:after,.ui-light.ui-date-picker-btn:after {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -860px -22px\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel {\r\n background: #fafafa;\r\n background: -moz-linear-gradient(#eaeaea,#dcdcdc);\r\n background: -ms-linear-gradient(#eaeaea,#dcdcdc);\r\n background: -o-linear-gradient(#eaeaea,#dcdcdc);\r\n background: -webkit-linear-gradient(#eaeaea,#dcdcdc);\r\n background: linear-gradient(#eaeaea,#dcdcdc);\r\n border-color: #afafaf\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-datepicker-title {\r\n color: #838383\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel.hide:after {\r\n background: 0\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel th {\r\n color: #838383\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel td {\r\n border: 1px solid #afafaf;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.25)\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel td.ui-datepicker-current-day,.ui-light.ui-date-picker-calendar-panel td.ui-datepicker-current-day.ui-datepicker-today {\r\n background: #1a90e5\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel td.ui-datepicker-current-day.ui-datepicker-today .ui-state-active {\r\n color: #fff\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel td.ui-datepicker-today {\r\n background: #fff\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel td.ui-datepicker-current-day .ui-state-active {\r\n color: #fff\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-datepicker-unselectable {\r\n opacity: .3;\r\n color: #b1b1b1\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-state-default,.ui-light.ui-date-picker-calendar-panel .ui-widget-content .ui-state-default,.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default {\r\n color: #4b4b4b\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default {\r\n color: #666\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-state-default:hover,.ui-light.ui-date-picker-calendar-panel .ui-widget-content .ui-state-default:hover,.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-state-default:hover {\r\n border-color: #1a90e5\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary {\r\n background: #5f5f5f;\r\n color: #fff\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-priority-secondary,.ui-light.ui-date-picker-calendar-panel .ui-widget-content .ui-priority-secondary,.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary {\r\n background: #afafaf;\r\n color: #fff\r\n}\r\n\r\n.ui-light.ui-date-picker-calendar-panel .ui-priority-secondary:hover,.ui-light.ui-date-picker-calendar-panel .ui-widget-content .ui-priority-secondary:hover,.ui-light.ui-date-picker-calendar-panel .ui-widget-header .ui-priority-secondary:hover {\r\n color: #4b4b4b\r\n}\r\n\r\n.ui-tooltip-panel {\r\n display: none;\r\n background: #606060;\r\n background: -moz-linear-gradient(top,rgba(96,96,96,1) 4%,rgba(56,56,56,1) 16%,rgba(19,19,19,1) 30%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(4%,rgba(96,96,96,1)),color-stop(16%,rgba(56,56,56,1)),color-stop(30%,rgba(19,19,19,1)));\r\n background: -webkit-linear-gradient(top,rgba(96,96,96,1) 4%,rgba(56,56,56,1) 16%,rgba(19,19,19,1) 30%);\r\n background: -o-linear-gradient(top,rgba(96,96,96,1) 4%,rgba(56,56,56,1) 16%,rgba(19,19,19,1) 30%);\r\n background: -ms-linear-gradient(top,rgba(96,96,96,1) 4%,rgba(56,56,56,1) 16%,rgba(19,19,19,1) 30%);\r\n background: linear-gradient(to bottom,rgba(96,96,96,1) 4%,rgba(56,56,56,1) 16%,rgba(19,19,19,1) 30%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#606060', endColorstr='#131313', GradientType=0);\r\n font-size: 11px;\r\n color: #fff;\r\n padding: 13px 14px;\r\n border-radius: 3px;\r\n position: absolute;\r\n box-shadow: 0 0 8px 0 rgba(0,0,0,.4)\r\n}\r\n\r\n.ui-tooltip-panel:before {\r\n content: \"\";\r\n position: absolute;\r\n margin: 0;\r\n padding: 0;\r\n width: 0;\r\n height: 0\r\n}\r\n\r\n.ui-tooltip-panel-left:before {\r\n border-bottom: 6px solid transparent;\r\n border-top: 6px solid transparent;\r\n border-left: 6px solid #000;\r\n border-right: 0;\r\n top: 50%;\r\n margin-top: -6px;\r\n right: -6px\r\n}\r\n\r\n.ui-tooltip-panel-right:before {\r\n border-bottom: 6px solid transparent;\r\n border-top: 6px solid transparent;\r\n border-right: 6px solid #000;\r\n border-left: 0;\r\n top: 50%;\r\n margin-top: -6px;\r\n left: -6px\r\n}\r\n\r\n.ui-tooltip-panel-bottom:before {\r\n border-left: 6px solid transparent;\r\n border-right: 6px solid transparent;\r\n border-bottom: 6px solid #606060;\r\n border-top: 0;\r\n top: -6px;\r\n left: 50%;\r\n margin-left: -6px\r\n}\r\n\r\n.ui-tooltip-panel-top:before {\r\n border-left: 6px solid transparent;\r\n border-right: 6px solid transparent;\r\n border-top: 6px solid #000;\r\n border-bottom: 0;\r\n bottom: -6px;\r\n left: 50%;\r\n margin-left: -6px\r\n}\r\n\r\n.ui-page-turn {\r\n background-color: #000;\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 50%;\r\n z-index: 10\r\n}\r\n\r\n.ui-page-turn.active {\r\n display: block;\r\n width: 100%\r\n}\r\n\r\n.ui-page-turn.left {\r\n display: block;\r\n left: 0;\r\n overflow: hidden;\r\n z-index: 11\r\n}\r\n\r\n.ui-page-turn.right {\r\n display: block;\r\n left: 50%;\r\n overflow: hidden;\r\n z-index: 11\r\n}\r\n\r\n.ui-page-turn.preload {\r\n display: block;\r\n z-index: 9\r\n}\r\n\r\n.ui-page-turn.right>.ui-placer {\r\n height: 100%;\r\n left: -100%;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.ui-placer {\r\n height: 100%;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 10\r\n}\r\n\r\n.ui-page-turn-animator {\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n z-index: 11\r\n}\r\n\r\n.ui-shadow {\r\n background-color: #000;\r\n position: absolute;\r\n width: 100%;\r\n height: 100%;\r\n z-index: 11\r\n}\r\n\r\n.ui-flip-panel {\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n z-index: 0\r\n}\r\n\r\n.ui-flip-panel.active {\r\n display: block;\r\n z-index: 1\r\n}\r\n\r\n.ui-film {\r\n background-color: #000;\r\n bottom: 0;\r\n left: 0;\r\n opacity: 0;\r\n filter: alpha(opacity=0);\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n z-index: 99\r\n}\r\n\r\n.ui-film.show {\r\n opacity: .7;\r\n filter: alpha(opacity=70)\r\n}\r\n\r\n.ui-film.fullscreen {\r\n opacity: 1;\r\n filter: alpha(opacity=100);\r\n z-index: 0\r\n}\r\n\r\n.ui-app-loader {\r\n height: 100%;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.play-btn-s {\r\n background: url(../../images/buttons/video-play-12x12.png) no-repeat;\r\n height: 12px;\r\n width: 12px\r\n}\r\n\r\n.play-btn-m {\r\n background: url(../../images/buttons/video-play-24x24.png) no-repeat;\r\n height: 24px;\r\n position: relative;\r\n width: 24px;\r\n bottom: 26px;\r\n right: -54px\r\n}\r\n\r\n.play-btn-l {\r\n background: url(../../images/buttons/video-play.png) no-repeat;\r\n height: 34px;\r\n position: absolute;\r\n width: 34px\r\n}\r\n\r\n.hidden {\r\n display: none!important;\r\n visibility: hidden\r\n}\r\n\r\n.visuallyhidden {\r\n border: 0;\r\n clip: rect(0 0 0 0);\r\n height: 1px;\r\n margin: -1px;\r\n overflow: hidden;\r\n padding: 0;\r\n position: absolute;\r\n width: 1px\r\n}\r\n\r\n.visuallyhidden.focusable:active,.visuallyhidden.focusable:focus {\r\n clip: auto;\r\n height: auto;\r\n margin: 0;\r\n overflow: visible;\r\n position: static;\r\n width: auto\r\n}\r\n\r\n.invisible {\r\n visibility: hidden\r\n}\r\n\r\n.clearfix:before,.clearfix:after {\r\n content: \"\";\r\n display: table\r\n}\r\n\r\n.clearfix:after {\r\n clear: both\r\n}\r\n\r\n.clearfix {\r\n *zoom: 1\r\n}\r\n\r\n.site-header {\r\n min-height: 120px;\r\n width: 100%\r\n}\r\n\r\n.site-header-collapsed {\r\n min-height: 40px\r\n}\r\n\r\n.site-header-inner-wrap {\r\n background: #141414;\r\n min-width: 980px;\r\n position: relative;\r\n width: 100%;\r\n z-index: 9000\r\n}\r\n\r\n.site-header-inner-wrap-fixed {\r\n position: fixed\r\n}\r\n\r\n.site-masthead {\r\n background: #141414;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.site-masthead-logo-link {\r\n display: block;\r\n position: absolute;\r\n z-index: 1\r\n}\r\n\r\n.site-masthead-search-wrap {\r\n height: 80px;\r\n overflow: hidden;\r\n position: relative;\r\n text-align: right\r\n}\r\n\r\n.site-masthead-search-wrap-collapsed {\r\n height: 0\r\n}\r\n\r\n.site-masthead-search-form {\r\n margin-top: 19px;\r\n width: auto\r\n}\r\n\r\n.site-masthead-search-input-wrap {\r\n display: inline-block;\r\n position: relative;\r\n vertical-align: top;\r\n width: auto;\r\n z-index: 10\r\n}\r\n\r\n.site-masthead-search-form-input {\r\n background: #eee;\r\n border: 0;\r\n border-radius: 2px;\r\n box-shadow: inset 0 1px 2px rgba(0,0,0,.4);\r\n color: #333;\r\n font-size: 17px;\r\n height: 40px;\r\n line-height: 20px;\r\n outline: 0;\r\n padding: 0 46px 0 10px;\r\n transition: background-color .15s;\r\n width: 160px\r\n}\r\n\r\n.site-masthead-search-form-input:focus {\r\n background: #fff\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .site-masthead-search-form-input {\r\n width:350px\r\n }\r\n}\r\n\r\n.site-masthead-search-input-wrap:before {\r\n content: '';\r\n background: url(../../images/sprites/header-sprite.png) no-repeat -274px -69px;\r\n display: none;\r\n left: 9px;\r\n position: absolute;\r\n padding: 0;\r\n top: 8px;\r\n width: 40px;\r\n height: 30px\r\n}\r\n\r\n.lt-ie9 .site-masthead-search-form-input {\r\n position: relative;\r\n z-index: -1\r\n}\r\n\r\n.site-masthead-search-form-input>.ui-text-input-clear-btn {\r\n background: url(../../images/sprites/header-sprite.png) no-repeat -277px -115px transparent;\r\n display: none;\r\n height: 20px;\r\n overflow: hidden;\r\n position: absolute;\r\n right: 10px;\r\n top: 10px;\r\n width: 21px;\r\n z-index: 6\r\n}\r\n\r\ninput.site-masthead-search-btn {\r\n display: none\r\n}\r\n\r\n.site-masthead-search-btn-div {\r\n cursor: pointer;\r\n height: 40px;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: 49px\r\n}\r\n\r\n.site-masthead-search-btn-div:after {\r\n background: url(../../images/sprites/header-sprite.png) 0 0;\r\n content: '';\r\n display: block;\r\n height: 27px;\r\n margin: 7px 0 0 12px;\r\n opacity: .6;\r\n transition: opacity .15s;\r\n width: 28px\r\n}\r\n\r\n.site-masthead-search-btn-div:hover:after {\r\n opacity: 1\r\n}\r\n\r\n.site-masthead-search-close-btn {\r\n cursor: pointer;\r\n display: none;\r\n height: 40px;\r\n margin: 0 -10px 0 5px;\r\n overflow: hidden;\r\n padding: 0 10px;\r\n vertical-align: top\r\n}\r\n\r\n.show-search .site-masthead-search-close-btn,.site-masthead-search-close-btn-visible {\r\n display: inline-block\r\n}\r\n\r\n.site-masthead-search-close-btn:after {\r\n background-position: 0 -30px;\r\n content: '';\r\n display: inline-block;\r\n height: 21px;\r\n opacity: .6;\r\n position: relative;\r\n top: 10px;\r\n transition: opacity .15s;\r\n width: 21px\r\n}\r\n\r\n.site-masthead-search-close-btn:hover:after {\r\n opacity: 1\r\n}\r\n\r\n.site-masthead-subscribe-wrap {\r\n display: none;\r\n margin-left: 20px;\r\n height: 40px\r\n}\r\n\r\n.site-masthead-special-offers-ad {\r\n display: none;\r\n margin: -7px 0 0 20px\r\n}\r\n\r\n.site-masthead-subscribe-wrap-visible {\r\n display: inline-block!important\r\n}\r\n\r\na.site-masthead-subscribe-link {\r\n color: #fff;\r\n display: table-cell;\r\n height: 40px;\r\n line-height: 8px;\r\n text-align: center;\r\n vertical-align: middle\r\n}\r\n\r\n.site-masthead-subscribe-primary-text {\r\n color: #00a0f8;\r\n display: block;\r\n font-family: 'Futura Today DemiBold',Arial,sans-serif;\r\n font-size: 14px\r\n}\r\n\r\n.site-masthead-subscribe-secondary-text {\r\n color: #fff;\r\n display: block;\r\n font-family: 'Futura Today',Arial,sans-serif;\r\n font-size: 14px;\r\n letter-spacing: .25px;\r\n margin: 8px 0 0\r\n}\r\n\r\n.site-nav {\r\n background: #141414;\r\n border-top: 1px solid #343434;\r\n box-shadow: 0 2px 2px rgba(0,0,0,.2);\r\n height: 39px;\r\n position: relative;\r\n width: 100%;\r\n z-index: 9005\r\n}\r\n\r\n.site-nav-list {\r\n display: table;\r\n margin-top: -1px\r\n}\r\n\r\n.site-masthead,.site-nav-list {\r\n margin-left: auto;\r\n margin-right: auto;\r\n transition: 200ms width linear;\r\n -moz-transition: 200ms width linear;\r\n -webkit-transition: 200ms width linear;\r\n width: 840px\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .site-masthead,.site-nav-list {\r\n width:1080px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .site-masthead,.site-nav-list {\r\n width:1180px\r\n }\r\n}\r\n\r\n.site-nav-item {\r\n display: table-cell;\r\n height: 40px;\r\n line-height: 36px;\r\n margin: 0;\r\n opacity: 0;\r\n padding: 0;\r\n position: relative;\r\n transition: opacity .5s;\r\n vertical-align: top;\r\n visibility: hidden;\r\n width: 1%;\r\n z-index: 1\r\n}\r\n\r\n.site-nav-item-visible {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.site-nav-item-hidden,.site-nav-blank-item {\r\n display: none\r\n}\r\n\r\n.site-nav-spacer-item {\r\n width: 100%\r\n}\r\n\r\n.site-nav-active-item {\r\n z-index: 9010\r\n}\r\n\r\n.site-nav-inner-wrap {\r\n display: block;\r\n position: relative\r\n}\r\n\r\n.site-nav-link {\r\n border-right: 1px solid #343434;\r\n color: #fff;\r\n display: block;\r\n font-family: 'Futura Today Bold',Helvetica,Arial,sans-serif;\r\n font-size: 11px;\r\n outline: 0;\r\n position: relative;\r\n text-decoration: none;\r\n text-shadow: 0 1px 0 #000;\r\n text-transform: uppercase\r\n}\r\n\r\n.site-nav-link:hover,.site-nav-link:visited {\r\n color: #fff\r\n}\r\n\r\n.site-nav-first-secondary-link {\r\n border-left: 1px solid #343434\r\n}\r\n\r\n.site-nav-text-link {\r\n border-style: solid;\r\n border-width: 0 1px 0 0;\r\n height: 40px;\r\n margin: 0\r\n}\r\n\r\n.site-nav-auxiliary-text-link,.site-nav-auxiliary-text-link:visited {\r\n color: #fff;\r\n line-height: 40px;\r\n font-family: 'Futura Today Bold';\r\n transition: background-color,color .15s,.15s;\r\n -webkit-backface-visibility: hidden\r\n}\r\n\r\n.site-nav-auxiliary-text-link.site-nav-more-link {\r\n display: block\r\n}\r\n\r\n.site-nav-active-item .site-nav-auxiliary-text-link,.site-nav-auxiliary-text-link:hover {\r\n background: #333;\r\n color: #fff\r\n}\r\n\r\n.site-nav-item.site-nav-first-primary-item {\r\n border-left: 1px solid #343434\r\n}\r\n\r\n.site-nav-external-text-link {\r\n background: rgba(0,0,0,.3)\r\n}\r\n\r\n.site-nav-icon-link {\r\n height: 40px;\r\n transition: background-color .15s\r\n}\r\n\r\n.site-nav-icon-link:hover {\r\n background: #333\r\n}\r\n\r\n.site-nav-active-item .site-nav-icon-link,.site-nav-active-item .site-nav-link,.site-nav-item-dropdown-active .site-nav-link,.site-nav-active-alt-span {\r\n background: #333\r\n}\r\n\r\n.site-nav-active-item>.site-nav-icon-link:before,.site-nav-active-item>.site-nav-icon-link:after {\r\n display: none\r\n}\r\n\r\n.site-nav-theme-border {\r\n height: 1px;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n z-index: 1\r\n}\r\n\r\n.site-nav-span {\r\n display: block;\r\n padding: 0 13px;\r\n position: relative;\r\n text-align: center;\r\n white-space: nowrap;\r\n z-index: 9005\r\n}\r\n\r\n.site-nav-text-span {\r\n height: 40px;\r\n line-height: 40px;\r\n margin: 0;\r\n -webkit-transition: background-color .15s;\r\n -moz-transition: background-color .15s;\r\n -ms-transition: background-color .15s;\r\n -o-transition: background-color .15s;\r\n transition: background-color .15s;\r\n -webkit-backface-visibility: hidden;\r\n -webkit-transform: rotate(0)\r\n}\r\n\r\n.site-nav-text-span:hover {\r\n background: #333\r\n}\r\n\r\n.site-nav-text-span.site-nav-first-primary-span {\r\n margin-left: 0\r\n}\r\n\r\n.site-nav-icon-span {\r\n height: 40px;\r\n margin: 0 auto;\r\n overflow: hidden;\r\n padding: 0 10px;\r\n text-indent: -10000em;\r\n white-space: nowrap\r\n}\r\n\r\n.site-nav-alt-span {\r\n margin-top: -40px;\r\n transition: margin .75s;\r\n -moz-transition: margin .75s;\r\n -webkit-transition: margin .75s;\r\n -o-transition: margin .75s;\r\n -webkit-transform: rotate(0)\r\n}\r\n\r\n.site-nav-visible-alt-span {\r\n margin-top: 0\r\n}\r\n\r\n.site-nav-icon-span:after {\r\n background: transparent url(../../images/sprites/header-sprite.png) no-repeat bottom right;\r\n content: '';\r\n display: inline-block;\r\n height: 20px;\r\n left: 50%;\r\n opacity: .7;\r\n position: absolute;\r\n top: 11px;\r\n width: 20px;\r\n -webkit-backface-visibility: hidden;\r\n -webkit-transform: rotate(0);\r\n transition: opacity .15s\r\n}\r\n\r\n.site-nav-icon-link:hover .site-nav-icon-span:after,.site-nav-active-item .site-nav-icon-span:after {\r\n opacity: 1\r\n}\r\n\r\n.site-nav-span-wrap {\r\n height: 40px;\r\n margin: 0;\r\n overflow: hidden;\r\n padding: 0;\r\n position: relative;\r\n z-index: 1\r\n}\r\n\r\n.site-nav-text-span-wrap {\r\n height: 40px;\r\n margin: 0\r\n}\r\n\r\n.site-nav-text-span-wrap>.site-nav-alt-span {\r\n line-height: 39px\r\n}\r\n\r\n.site-nav-logo-item:after {\r\n right: 0\r\n}\r\n\r\n.site-nav-logo-item {\r\n border: 0;\r\n width: 1px\r\n}\r\n\r\n.site-nav-logo-link {\r\n border: 0;\r\n display: block;\r\n height: 40px;\r\n overflow: hidden;\r\n position: relative;\r\n margin-left: -10px;\r\n -webkit-transition: background-color .15s;\r\n -moz-transition: background-color .15s;\r\n -ms-transition: background-color .15s;\r\n -o-transition: background-color .15s;\r\n transition: background-color .15s;\r\n text-align: left;\r\n width: 0\r\n}\r\n\r\n.site-nav-logo-link-expanded {\r\n width: auto\r\n}\r\n\r\n.site-nav-logo-link:before,.site-nav-logo-link:after {\r\n display: none\r\n}\r\n\r\n.site-nav-logo-link:hover {\r\n background: #333\r\n}\r\n\r\n.site-nav-logo-img {\r\n opacity: 0;\r\n margin: 0 10px\r\n}\r\n\r\n.site-nav-logo-img-collapsed {\r\n opacity: 1\r\n}\r\n\r\n.site-nav-media-span {\r\n width: 21px\r\n}\r\n\r\n.site-nav-media-span:after {\r\n background-position: -104px 0;\r\n height: 17px;\r\n margin-left: -10px;\r\n top: 11px;\r\n width: 21px\r\n}\r\n\r\n.site-nav-big-page-span {\r\n width: 17px\r\n}\r\n\r\n.site-nav-big-page-span:after {\r\n background-position: -108px -20px;\r\n height: 16px;\r\n margin-left: -8px;\r\n top: 11px;\r\n width: 17px\r\n}\r\n\r\n.lt-ie9 .site-nav-big-page-item {\r\n display: none\r\n}\r\n\r\n.site-nav-search-span {\r\n width: 19px\r\n}\r\n\r\n.site-nav-search-span:after {\r\n background-position: -62px 0;\r\n height: 18px;\r\n margin-left: -10px;\r\n top: 11px;\r\n width: 19px\r\n}\r\n\r\n.site-nav-ugc-span {\r\n width: 14px\r\n}\r\n\r\n.site-nav-ugc-span:after {\r\n background-position: -85px -20px;\r\n height: 19px;\r\n margin-left: -7px;\r\n top: 10px;\r\n width: 14px\r\n}\r\n\r\n.site-nav-usa-today-span:before {\r\n background: url(../../images/sprites/header-sprite.png) no-repeat -209px 0;\r\n content: '';\r\n display: inline-block;\r\n height: 14px;\r\n margin-right: 5px;\r\n padding: 0;\r\n position: relative;\r\n top: 3px;\r\n width: 17px\r\n}\r\n\r\n.header-nav-dropdown-light-btn,.header-nav-dropdown-dark-btn {\r\n border-radius: 2px\r\n}\r\n\r\n.header-nav-dropdown-light-btn {\r\n background: #e6e6e6;\r\n border-color: #e6e6e6;\r\n box-shadow: 0 2px 2px rgba(0,0,0,.2);\r\n color: #333;\r\n text-shadow: none\r\n}\r\n\r\n.header-nav-dropdown-light-btn:hover {\r\n background: #fff\r\n}\r\n\r\n.header-nav-dropdown-dark-btn {\r\n border-color: #474747;\r\n box-shadow: 0 2px 2px rgba(0,0,0,.2);\r\n background: #474747;\r\n background: -moz-linear-gradient(top,#474747 0,#3e3e3e 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#474747),color-stop(100%,#3e3e3e));\r\n background: -webkit-linear-gradient(top,#474747 0,#3e3e3e 100%);\r\n background: -o-linear-gradient(top,#474747 0,#3e3e3e 100%);\r\n background: -ms-linear-gradient(top,#474747 0,#3e3e3e 100%);\r\n background: linear-gradient(top,#474747 0,#3e3e3e 100%);\r\n color: #fff\r\n}\r\n\r\n.header-nav-dropdown-dark-btn:hover {\r\n background: #575757;\r\n background: -moz-linear-gradient(top,#575757 0,#4b4b4b 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#575757),color-stop(100%,#4b4b4b));\r\n background: -webkit-linear-gradient(top,#575757 0,#4b4b4b 100%);\r\n background: -o-linear-gradient(top,#575757 0,#4b4b4b 100%);\r\n background: -ms-linear-gradient(top,#575757 0,#4b4b4b 100%);\r\n background: linear-gradient(top,#575757 0,#4b4b4b 100%);\r\n border-color: #5e5e5e;\r\n color: #fff\r\n}\r\n\r\n.site-nav-dropdown {\r\n background: #333;\r\n box-shadow: 0 3px 6px rgba(0,0,0,.7);\r\n color: #fff;\r\n font-size: 11px;\r\n display: none;\r\n line-height: normal;\r\n opacity: 0;\r\n position: absolute;\r\n right: 0;\r\n top: 40px;\r\n -webkit-backface-visibility: hidden;\r\n -webkit-transform: rotate(0);\r\n width: 240px\r\n}\r\n\r\n.site-nav-more-module {\r\n display: none\r\n}\r\n\r\n.site-nav-dropdown.site-nav-more-dropdown-1col {\r\n left: -1px;\r\n right: auto;\r\n width: auto\r\n}\r\n\r\n.site-nav-more-dropdown-inner-wrap {\r\n white-space: nowrap\r\n}\r\n\r\n.site-nav-more-dropdown-list {\r\n display: inline-block;\r\n margin: 15px 0 5px 15px;\r\n vertical-align: top\r\n}\r\n\r\n.site-nav-more-dropdown-list-2col {\r\n width: 240px\r\n}\r\n\r\n.site-nav-more-dropdown-item {\r\n display: none;\r\n float: left;\r\n margin: 0 15px 10px 0\r\n}\r\n\r\n.site-nav-more-dropdown-item-visible {\r\n display: block\r\n}\r\n\r\n.site-nav-more-dropdown-item-2col {\r\n width: 100px\r\n}\r\n\r\n.site-nav-more-dropdown-item-hidden {\r\n display: none\r\n}\r\n\r\n.site-nav-more-dropdown-item-odd {\r\n clear: left\r\n}\r\n\r\na.site-nav-more-dropdown-link,a.site-nav-more-dropdown-link:visited {\r\n color: #cdcdcd;\r\n transition: color .15s\r\n}\r\n\r\n.site-nav-more-dropdown-link:hover {\r\n color: #fff\r\n}\r\n\r\n.site-nav-more-dropdown-front-toggle {\r\n border-top: 1px solid #484848;\r\n clear: both\r\n}\r\n\r\n.lt-ie9 .site-nav-more-dropdown-front-toggle {\r\n display: none\r\n}\r\n\r\na.site-nav-more-dropown-front-toggle-link {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n color: #cdcdcd;\r\n display: block;\r\n float: left;\r\n padding: 11px 0 10px;\r\n text-align: center;\r\n text-transform: uppercase;\r\n transition: background-color,color .15s,.15s;\r\n width: 50%\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-link:hover,a.site-nav-more-dropown-front-toggle-link-active {\r\n background: #2b2b2b;\r\n color: #fff\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-default {\r\n border-right: 1px solid #484848\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-link:before {\r\n content: '';\r\n display: inline-block;\r\n background: url(../../images/sprites/header-sprite.png) 0 0;\r\n margin: 0 7px 0 0;\r\n opacity: .7;\r\n transition: opacity .15s;\r\n vertical-align: top\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-link:hover:before,.site-nav-more-dropown-front-toggle-link-active:before {\r\n opacity: 1\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-default:before {\r\n background-position: -174px 0;\r\n height: 13px;\r\n width: 15px\r\n}\r\n\r\n.site-nav-more-dropown-front-toggle-bigpage:before {\r\n background-position: -193px 0;\r\n height: 12px;\r\n width: 15px\r\n}\r\n\r\n.search-container {\r\n background: #333 url(../../images/patterns/dark-noise.png) 0 0 repeat;\r\n overflow: scroll;\r\n height: 0\r\n}\r\n\r\n.transparent-header .site-header-inner-wrap {\r\n background: 0;\r\n background: rgba(20,20,20,0)\r\n}\r\n\r\n.transparent-header .site-nav,.transparent-header .site-nav-dropdown,.transparent-header .weather-nav-dropdown {\r\n box-shadow: none;\r\n background: rgba(20,20,20,0)\r\n}\r\n\r\n.transparent-header .site-nav,.transparent-header .site-nav-dropdown,.transparent-header .weather-nav-dropdown {\r\n background: transparent url(../../images/pixels/pixel-black-30percent.png) repeat top left;\r\n background: rgba(20,20,20,.3)\r\n}\r\n\r\n.transparent-header .site-nav-more-dropown-front-toggle-link:hover,.transparent-header a.site-nav-more-dropown-front-toggle-link-active {\r\n background: transparent url(../../images/pixels/pixel-black-30percent.png) repeat top left;\r\n background: rgba(43,43,43,.3)\r\n}\r\n\r\n.transparent-header .site-nav-logo-link:hover,.transparent-header .site-nav-icon-link:hover,.transparent-header span.site-nav-span-theme-usatoday:hover,.transparent-header span.site-nav-span-theme-usatoday.site-nav-active-span,.transparent-header .site-nav-active-item .site-nav-auxiliary-text-link,.transparent-header .site-nav-auxiliary-text-link:hover,.transparent-header .site-nav-active-item>.site-nav-icon-link,.transparent-header .site-nav-item-dropdown-active>.site-nav-link,.transparent-header .site-nav-active-alt-span {\r\n background: transparent\r\n}\r\n\r\n.transparent-transition,.transparent-transition .site-nav,.transparent-transition .site-nav-dropdown,.transparent-transition .weather-nav-dropdown {\r\n -webkit-transition: background 350ms;\r\n -moz-transition: background 350ms;\r\n -o-transition: background 350ms;\r\n transition: background 350ms\r\n}\r\n\r\n.transparent-header .site-nav-item.site-nav-first-primary-item,.transparent-header .site-nav-first-secondary-link,.transparent-header .site-nav-text-link,.transparent-header .site-nav-link {\r\n border-right-color: transparent;\r\n border-left-color: transparent\r\n}\r\n\r\n#breaking-bar {\r\n height: 0;\r\n display: none;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%;\r\n z-index: 9004\r\n}\r\n\r\n#breaking {\r\n background-color: #f70000\r\n}\r\n\r\n#breaking.live,#breaking.developing {\r\n background-color: #0af\r\n}\r\n\r\n#breaking-bar.local #breaking {\r\n padding: 10px 0\r\n}\r\n\r\n#breaking-stories {\r\n margin: 0 auto;\r\n position: relative;\r\n width: 840px\r\n}\r\n\r\n#breaking-bar.local #breaking-stories {\r\n height: 50px;\r\n padding-right: 0;\r\n overflow: visible\r\n}\r\n\r\n#breaking-bar p {\r\n color: #fff;\r\n overflow: hidden;\r\n padding: 13px 20px 12px 0;\r\n position: relative;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.2)\r\n}\r\n\r\n#breaking-bar.local #breaking p {\r\n display: inline-table;\r\n height: 100%;\r\n padding: 0\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple p {\r\n margin-right: 10px\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple p:last-child {\r\n margin-right: 0\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple.count-2 p {\r\n width: 415px\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple.count-3 p {\r\n width: 273px\r\n}\r\n\r\n#breaking-bar.local #breaking p .headline-wrapper {\r\n height: 50px;\r\n overflow: hidden\r\n}\r\n\r\n#breaking p strong {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.1);\r\n bottom: -4px;\r\n box-shadow: inset 0 1px 1px rgba(0,0,0,.1),0 1px 1px rgba(255,255,255,.2);\r\n float: left;\r\n font-family: 'Futura Today Bold',Helvetica,arial,sans-serif;\r\n font-size: 10px;\r\n font-weight: 400;\r\n line-height: 20px;\r\n padding: 2px 6px;\r\n position: relative;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n#breaking-bar.local #breaking p strong {\r\n background-color: rgba(0,0,0,.15);\r\n bottom: 0;\r\n box-shadow: none;\r\n display: table-cell;\r\n font-size: 12px;\r\n font-weight: 400;\r\n height: 34px;\r\n line-height: 1.1em;\r\n overflow: hidden;\r\n padding: 11px 5px 5px;\r\n text-overflow: ellipsis;\r\n width: 70px\r\n}\r\n\r\n#breaking-bar.local #breaking p strong.breaking-story-label-developing {\r\n font-size: 10px;\r\n padding: 14px 5px 5px;\r\n height: 31px\r\n}\r\n\r\n#breaking-bar.local #breaking p strong.breaking-story-label-video {\r\n font-size: 13px;\r\n padding: 10px 5px 5px;\r\n height: 35px\r\n}\r\n\r\n#breaking-bar.local #breaking .headline-wrapper {\r\n display: table-cell;\r\n vertical-align: middle;\r\n width: 100%\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple .headline-wrapper {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.1)\r\n}\r\n\r\n#breaking .headline {\r\n color: inherit;\r\n display: inline-block;\r\n font-size: 13px;\r\n font-weight: 700;\r\n line-height: 32px;\r\n margin: 0 0 0 15px;\r\n text-decoration: none\r\n}\r\n\r\n#breaking-bar.local #breaking .headline {\r\n line-height: 13px;\r\n display: inline-block;\r\n margin: 0;\r\n padding-left: 10px;\r\n padding-top: 2px;\r\n padding-right: 10px\r\n}\r\n\r\n#breaking-bar.local #breaking.multiple.count-3 .headline {\r\n font-size: 11px;\r\n line-height: 11px\r\n}\r\n\r\n#breaking .button {\r\n border-left: 0;\r\n color: #fff;\r\n display: inline-block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 12px;\r\n margin-left: 10px;\r\n padding: 2px 0 2px 30px;\r\n position: relative;\r\n text-decoration: none;\r\n top: 0\r\n}\r\n\r\n#breaking .button>span {\r\n border-bottom: 1px solid transparent;\r\n transition: border-color .3s;\r\n -moz-transition: border-color .3s;\r\n -webkit-transition: border-color .3s;\r\n -o-transition: border-color .3s\r\n}\r\n\r\n#breaking .button:hover>span {\r\n border-color: #fff\r\n}\r\n\r\n#breaking .button:before {\r\n background: transparent url(../../images/sprites/header-sprite.png) no-repeat -52px -159px;\r\n content: '';\r\n height: 18px;\r\n left: 8px;\r\n position: absolute;\r\n top: -1px;\r\n width: 17px\r\n}\r\n\r\n#breaking .close-btn {\r\n background: url(../../images/sprites/header-sprite.png) no-repeat -322px -119px;\r\n cursor: pointer;\r\n height: 21px;\r\n margin-top: -9px;\r\n opacity: .6;\r\n position: absolute;\r\n right: 0;\r\n top: 50%;\r\n width: 20px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n z-index: 1\r\n}\r\n\r\n#breaking-bar.local #breaking .close-btn {\r\n opacity: 1;\r\n right: -30px\r\n}\r\n\r\n#breaking .close-btn:hover {\r\n opacity: 1\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n #breaking p {\r\n padding:13px 40px 12px 0\r\n }\r\n \r\n #breaking-stories {\r\n width: 1080px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-2 p {\r\n width: 535px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-3 p {\r\n width: 353px\r\n }\r\n \r\n #breaking p strong {\r\n bottom: -2px;\r\n font-size: 14px;\r\n padding: 4px 8px\r\n }\r\n \r\n #breaking .headline {\r\n font-size: 16px;\r\n margin: 0 0 0 20px\r\n }\r\n \r\n #breaking.count-2 .headline {\r\n font-size: 16px;\r\n line-height: 18px\r\n }\r\n \r\n #breaking.count-3 .headline {\r\n font-size: 13px\r\n }\r\n \r\n #breaking-bar.local #breaking .headline {\r\n line-height: 18px;\r\n margin: 0\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-2 .headline {\r\n font-size: 14px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-3 .headline {\r\n font-size: 12px;\r\n line-height: 14px\r\n }\r\n \r\n #breaking .button {\r\n border-left: 1px solid #fff;\r\n margin-left: 20px;\r\n padding: 2px 0 2px 43px;\r\n top: -1px\r\n }\r\n \r\n #breaking .button:before {\r\n left: 20px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n #breaking-stories {\r\n width:1180px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-2 p {\r\n width: 585px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-3 p {\r\n width: 386px\r\n }\r\n \r\n #breaking .headline {\r\n font-size: 18px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-2 .headline {\r\n font-size: 16px\r\n }\r\n \r\n #breaking-bar.local #breaking.multiple.count-3 .headline {\r\n font-size: 13px\r\n }\r\n}\r\n\r\n.sp-subhead {\r\n background-color: #272727;\r\n color: #fff\r\n}\r\n\r\n.sp-subhead-well {\r\n background: none repeat scroll 0 0 rgba(0,0,0,.2);\r\n width: 100%;\r\n height: 310px;\r\n float: left;\r\n z-index: 5\r\n}\r\n\r\n.sp-subhead-logo-wrap {\r\n float: left;\r\n height: 110px;\r\n padding: 100px 35px\r\n}\r\n\r\n.sp-subhead-hilite {\r\n display: table;\r\n height: 100%\r\n}\r\n\r\n.sp-subhead-source {\r\n position: absolute;\r\n top: 0;\r\n left: 180px;\r\n text-align: left;\r\n vertical-align: top;\r\n padding: 5px;\r\n background-color: #eb1e00;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 10px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 9px;\r\n text-transform: uppercase\r\n}\r\n\r\n.sp-subhead-blurb {\r\n display: table-cell;\r\n text-align: left;\r\n vertical-align: middle;\r\n padding: 60px 10px 30px 30px;\r\n height: 100%;\r\n width: 275px\r\n}\r\n\r\n.sp-subhead-blurb a {\r\n color: #fff\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .sp-subhead-source {\r\n left:240px\r\n }\r\n \r\n .sp-subhead-logo-wrap {\r\n padding: 100px 65px\r\n }\r\n \r\n .sp-subhead-blurb {\r\n padding: 45px 25px 45px 45px;\r\n width: 525px\r\n }\r\n}\r\n\r\n.site-masthead-search-btn-div:after,.site-nav-icon-span:after,.site-nav-more-dropown-front-toggle-link:before,.site-masthead-search-close-btn:after {\r\n background-image: url(../../images/sprites/header-sprite.png)\r\n}\r\n\r\n@media only screen and (-webkit-min-device-pixel-ratio: 2),only screen and (min-device-pixel-ratio:2),only screen and (min-resolution:2dppx) {\r\n .site-masthead-search-btn-div:after,.site-nav-icon-span:after,.site-nav-more-dropown-front-toggle-link:before,.site-masthead-search-close-btn:after,.site-nav-usa-today-span:before {\r\n background-image:url(../../images/sprites/header-sprite@2x.png);\r\n background-size: 250px 120px\r\n }\r\n}\r\n\r\n.site-masthead .chromeless-header .logo {\r\n width: 100%;\r\n margin: 0\r\n}\r\n\r\n.chromeless-header #logo-link {\r\n margin: 5px auto auto\r\n}\r\n\r\nbody>iframe {\r\n bottom: 0;\r\n border: 0;\r\n position: absolute\r\n}\r\n\r\n.staff-bio-wrapper {\r\n padding: 65px 0 20px\r\n}\r\n\r\n.staff-bio-headshoot {\r\n display: inline-block;\r\n float: left;\r\n height: 126px;\r\n padding: 0 20px 10px 0;\r\n width: 126px\r\n}\r\n\r\n.staff-bio-info {\r\n color: #fff;\r\n display: inline-block;\r\n float: left;\r\n width: 800px\r\n}\r\n\r\n.staff-bio-newsrole {\r\n float: left;\r\n font-size: 16px;\r\n font-weight: 700;\r\n height: 20px;\r\n line-height: 20px;\r\n border-right: 1px solid #505050;\r\n margin: 5px 15px 5px 0;\r\n padding: 0 20px 0 0\r\n}\r\n\r\n.staff-role-social {\r\n float: left;\r\n clear: both;\r\n vertical-align: middle;\r\n padding: 5px 0\r\n}\r\n\r\n.staff-bio-displayname {\r\n display: inline;\r\n float: left;\r\n font: 400 30px 'Futura Today Bold','helvetica neue',arial,sans-serif;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.staff-bio-list {\r\n display: inline-block;\r\n height: 30px;\r\n width: 220px\r\n}\r\n\r\n.staff-bio-social-icon-list {\r\n margin: 0;\r\n padding: 0;\r\n list-style-type: none\r\n}\r\n\r\n.staff-bio-biography {\r\n clear: left;\r\n display: block;\r\n font-size: 12px\r\n}\r\n\r\n.social-icon-list-item {\r\n display: inline-block\r\n}\r\n\r\n.staff-bio-social-icon {\r\n display: inline-block;\r\n height: 29px;\r\n padding-left: 10px;\r\n width: 27px\r\n}\r\n\r\n.staff-bio-social-icon.email {\r\n background: url(../../images/sprites/staff-social-icons.png) -0px -0px no-repeat\r\n}\r\n\r\n.staff-bio-social-icon.twitter {\r\n background: url(../../images/sprites/staff-social-icons.png) -0px -27px no-repeat\r\n}\r\n\r\n.staff-bio-social-icon.facebook {\r\n background: url(../../images/sprites/staff-social-icons.png) -0px -54px no-repeat\r\n}\r\n\r\n.staff-bio-social-icon.google {\r\n background: url(../../images/sprites/staff-social-icons.png) -0px -81px no-repeat\r\n}\r\n\r\n#cover-view .arrows {\r\n position: absolute;\r\n width: 100%;\r\n z-index: 200;\r\n top: 50%;\r\n margin-top: -20px\r\n}\r\n\r\n#cover-view>.arrows .arrow {\r\n background: url(../../images/modules/coverview/arrows.png);\r\n cursor: pointer;\r\n display: block;\r\n height: 47px;\r\n position: absolute;\r\n width: 27px;\r\n z-index: 100\r\n}\r\n\r\n#cover-view>.arrows .arrow.prev {\r\n display: none;\r\n left: 10px\r\n}\r\n\r\n#cover-view>.arrows .arrow.next {\r\n background-position: 0 -47px;\r\n right: 10px\r\n}\r\n\r\n#cover-view {\r\n background-color: #000;\r\n height: 100%;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n#cover-view-pages {\r\n height: 100%;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n#cover-view-pages.width-wiggler {\r\n width: -webkit-calc(100% + 1px);\r\n width: -moz-calc(100% + 1px);\r\n width: calc(100% + 1px)\r\n}\r\n\r\n#cover-view-pages>.active .photo,#cover-view-pages>.active>.partner-content>.container {\r\n width: 100%\r\n}\r\n\r\n#cover-view .photo,#cover-view .partner-content>.container {\r\n background-attachment: fixed;\r\n background-repeat: no-repeat;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n background-size: cover;\r\n height: 100%;\r\n position: absolute;\r\n width: 200%\r\n}\r\n\r\n#cover-view-pages .partner-content {\r\n bottom: 0;\r\n height: auto;\r\n position: absolute;\r\n top: 40px\r\n}\r\n\r\n#cover-view .photo:after {\r\n background: -moz-linear-gradient(left,rgba(0,0,0,.75) 0,rgba(0,0,0,.25) 320px,rgba(0,0,0,0) 400px);\r\n background: -webkit-gradient(linear,left top,right top,color-stop(0%,rgba(0,0,0,.75)),color-stop(320px,rgba(0,0,0,.25)),color-stop(400px,rgba(0,0,0,0)));\r\n background: -webkit-linear-gradient(left,rgba(0,0,0,.75) 0,rgba(0,0,0,.25) 320px,rgba(0,0,0,0) 400px);\r\n background: -o-linear-gradient(left,rgba(0,0,0,.75) 0,rgba(0,0,0,.25) 320px,rgba(0,0,0,0) 400px);\r\n background: -ms-linear-gradient(left,rgba(0,0,0,.75) 0,rgba(0,0,0,.25) 320px,rgba(0,0,0,0) 400px);\r\n background: linear-gradient(to right,rgba(0,0,0,.75) 0,rgba(0,0,0,.25) 320px,rgba(0,0,0,0) 400px);\r\n content: '';\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n top: 0;\r\n width: 50%\r\n}\r\n\r\n#cover-view .text-wrap {\r\n bottom: 60px;\r\n left: 60px;\r\n position: absolute\r\n}\r\n\r\n#cover-view .text-wrap>.parent-label {\r\n position: static\r\n}\r\n\r\n#cover-view .hero-text {\r\n background: 0;\r\n margin-top: 15px;\r\n width: 360px\r\n}\r\n\r\n#cover-view .hero-story {\r\n position: static\r\n}\r\n\r\n#cover-view .hero-story>h1 {\r\n font-size: 46px;\r\n letter-spacing: -1px;\r\n line-height: 48px;\r\n margin: 0;\r\n width: 100%\r\n}\r\n\r\n#cover-view .hero-story>h1>.load-story {\r\n width: 100%\r\n}\r\n\r\n#cover-view.hero .hero-story .byline-block {\r\n margin: 0\r\n}\r\n\r\n#cover-view.hero .hero-story .actions {\r\n border-top: 2px solid #fff;\r\n margin: 20px 0 0;\r\n overflow: visible;\r\n padding: 20px 60px 0 0;\r\n width: auto;\r\n display: inline-block\r\n}\r\n\r\n#cover-view.hero .hero-story .actions>li {\r\n border: 0;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n#cover-view.hero .hero-story .actions>li .byline {\r\n margin-top: 0\r\n}\r\n\r\n#cover-view.hero .hero-story .actions>li a .byline em,#cover-view.hero .hero-story .actions>li a .byline:hover em {\r\n color: #fff\r\n}\r\n\r\n#cover-view .date {\r\n color: #fff;\r\n color: rgba(255,255,255,1);\r\n font-size: 11px;\r\n font-weight: 700;\r\n margin-left: 8px\r\n}\r\n\r\n#cover-view .close {\r\n background: url(../../images/sprites/ui-sprite.png) -150px -205px no-repeat;\r\n direction: ltr;\r\n display: block;\r\n height: 50px;\r\n position: absolute;\r\n right: 0;\r\n text-indent: -9999px;\r\n top: 46px;\r\n width: 50px;\r\n z-index: 20\r\n}\r\n\r\n.show-search {\r\n background: #333 url(../../images/patterns/dark-noise.png) 0 0 repeat\r\n}\r\n\r\n.show-search .ui-loader.global-loader.active,.search-open .ui-loader.global-loader.active {\r\n top: 120px\r\n}\r\n\r\n.search-results.ui-loading {\r\n background-color: #323232\r\n}\r\n\r\n.search-results {\r\n background: #333 url(../../images/patterns/dark-noise.png) 0 0 repeat;\r\n color: #fff;\r\n min-height: 100%;\r\n min-height: -moz-calc(100% - 120px);min-height:-webkit-calc(100% - 120px);min-height:calc(100% - 120px);position:absolute;overflow:hidden;z-index:99;\r\n width:100%}\r\n\r\n.results {\r\n position: relative;\r\n box-shadow: inset 0 1px #3b3b3b;\r\n top: 50px\r\n}\r\n\r\n.results .inner {\r\n margin: 0 auto;\r\n padding-bottom: 40px;\r\n width: 840px;\r\n position: relative\r\n}\r\n\r\n.view-grid .inner {\r\n width: 720px\r\n}\r\n\r\n.view-list .inner {\r\n width: 720px\r\n}\r\n\r\n.search-results .list-content {\r\n padding-right: 241px\r\n}\r\n\r\n.search-results .tile {\r\n border-bottom: 1px solid #0a0a0a;\r\n position: relative;\r\n box-shadow: inset 0 1px #3b3b3b;\r\n padding: 20px\r\n}\r\n\r\n.search-results .ad .search-result-figure {\r\n text-align: center\r\n}\r\n\r\n.search-results .sidebar {\r\n background: #2c2c2c url(../../images/modules/search/sidebar-bg.png) repeat top left;\r\n box-shadow: inset 0 1px #3b3b3b;\r\n border-right: 1px solid #0a0a0a;\r\n top: 0;\r\n right: 0;\r\n bottom: 0;\r\n position: absolute;\r\n width: 240px\r\n}\r\n\r\n.view-list .list-bg {\r\n border-top: #717171 1.5px solid;\r\n border-top: rgba(87,87,87,.3) 1.5px solid;\r\n background: #2c2c2c url(../../images/modules/search/sidebar-bg.png) repeat top left;\r\n position: absolute\r\n}\r\n\r\n.clearleft {\r\n clear: left\r\n}\r\n\r\n.results .list-content .search-results-list {\r\n border-left: 1px solid #0a0a0a;\r\n list-style: none;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.view-grid .list-content .search-result-item {\r\n float: left;\r\n height: 257px;\r\n margin: 0;\r\n padding: 1px 0 0;\r\n width: 238px\r\n}\r\n\r\n.list-content .search-result-item {\r\n background: #333 url(../../images/patterns/dark-noise.png) 0 0 repeat;\r\n border-bottom: 1px solid #0a0a0a;\r\n border-right: 1px solid #0a0a0a;\r\n position: relative;\r\n box-shadow: inset 0 1px #3b3b3b\r\n}\r\n\r\n.results .search-result-item .search-result-figure {\r\n padding: 16px 17px 11px;\r\n position: relative\r\n}\r\n\r\n.view-list .search-result-item .search-result-figure {\r\n float: left;\r\n padding: 0\r\n}\r\n\r\n.search-result-image {\r\n border: 2px solid #2e2e2e;\r\n display: block;\r\n margin: 0 auto\r\n}\r\n\r\n.search-result-item.topresult .search-result-image {\r\n width: 200px\r\n}\r\n\r\n.topresult .search-result-figure {\r\n height: 106px;\r\n margin-bottom: 13px;\r\n overflow: hidden\r\n}\r\n\r\n.view-list .search-result-image {\r\n margin: 0 20px 0 0;\r\n width: 120px\r\n}\r\n\r\n.view-list .topic .search-result-image {\r\n float: left;\r\n margin: 0 20px 0 0;\r\n width: 200px\r\n}\r\n\r\n.search-result-item-link {\r\n display: block\r\n}\r\n\r\n.search-results .view-list .search-result-item .search-result-item-link .front {\r\n position: relative\r\n}\r\n\r\n.results .search-result-item .search-result-item-link .front {\r\n opacity: 1;\r\n position: absolute;\r\n -moz-transition: opacity .15s ease-in-out;\r\n -o-transition: opacity .15s ease-in-out;\r\n transition: opacity .15s ease-in-out;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.results .search-result-item .back {\r\n display: none\r\n}\r\n\r\n.lt-ie9 .view-grid .search-result-item .search-result-item-link .back {\r\n visibility: hidden\r\n}\r\n\r\n.lt-ie9 .view-grid .search-result-item .search-result-item-link:hover .front {\r\n visibility: hidden\r\n}\r\n\r\n.view-grid .search-result-item .search-result-item-link .back {\r\n display: block;\r\n opacity: 0;\r\n position: absolute;\r\n -moz-transition: opacity .15s ease-in-out;\r\n -o-transition: opacity .15s ease-in-out;\r\n transition: opacity .15s ease-in-out;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.view-grid .search-result-item .search-result-item-link:hover .front {\r\n opacity: 0\r\n}\r\n\r\n.view-grid .search-result-item .search-result-item-link:hover .back {\r\n visibility: visible;\r\n opacity: 1\r\n}\r\n\r\n.view-grid .list-content .search-result-item .search-result-item-link {\r\n height: 257px;\r\n width: 238px\r\n}\r\n\r\n.view-grid .search-result-item .search-result-item-link:hover {\r\n background: #4d4d4d\r\n}\r\n\r\n.view-list .search-result-item .search-result-item-link:hover {\r\n background: #4d4d4d\r\n}\r\n\r\n.view-list .none-link-borders,.view-list .search-result-item .search-result-item-link {\r\n padding: 10px 0 10px 10px\r\n}\r\n\r\n.results .search-result-item .search-result-item-link {\r\n color: #ccc\r\n}\r\n\r\n.search-result-title {\r\n font-size: 14px;\r\n line-height: 135%;\r\n margin: 0 18px;\r\n text-shadow: 0 1px 1px #000\r\n}\r\n\r\n.search-result-title-back {\r\n font-size: 14px;\r\n line-height: 110%;\r\n margin: 0 18px;\r\n padding-top: 57px;\r\n text-shadow: 0 1px 1px #000\r\n}\r\n\r\n.results .search-result-item .search-result-item-link:hover .search-results-headline {\r\n color: #fff\r\n}\r\n\r\n.view-list .search-result-title,.view-list .search-result-title-noimage {\r\n padding-left: 20px;\r\n margin: -4px 0 0\r\n}\r\n\r\n.results .search-result-item .parent-label {\r\n left: 19px;\r\n position: absolute;\r\n top: 19px\r\n}\r\n\r\n.results.view-list .search-result-item .parent-label {\r\n display: none\r\n}\r\n\r\n.results .search-result-item.recommended .parent-label:after {\r\n background: transparent url(../../images/modules/search/search-icons.png) no-repeat -76px -160px;\r\n content: 'Recommended';\r\n padding-left: 23px\r\n}\r\n\r\n.results .search-result-item .label.news {\r\n background-color: #009bff\r\n}\r\n\r\n.results .search-result-item .label.sports {\r\n background-color: #eb1e00\r\n}\r\n\r\n.results .search-result-item .label.life {\r\n background-color: #9600b4\r\n}\r\n\r\n.results .search-result-item .label.money {\r\n background-color: #00a53c\r\n}\r\n\r\n.results .search-result-item .label.tech {\r\n background-color: #fa5f00\r\n}\r\n\r\n.results .search-result-item .label.travel {\r\n background-color: #00c3c3\r\n}\r\n\r\n.results .search-result-item .label.weather {\r\n background-color: #ffc000\r\n}\r\n\r\n.results .search-result-item .meta {\r\n color: #666;\r\n font-size: 65%;\r\n font-style: italic\r\n}\r\n\r\n.results.view-grid .search-result-item .front .text {\r\n display: none\r\n}\r\n\r\n.view-list .front .text {\r\n margin-left: 18px\r\n}\r\n\r\n.results .search-result-item .back .text {\r\n padding-top: 19px;\r\n font-size: 12px;\r\n height: 98px;\r\n overflow: hidden;\r\n position: absolute;\r\n margin: 0 18px\r\n}\r\n\r\n.view-grid .search-result-item .meta .photo {\r\n display: inline-block;\r\n background-color: #fff;\r\n border: 2px solid #fff\r\n}\r\n\r\n.view-grid .search-result-item .meta .byLine {\r\n color: #fff;\r\n display: inline-block;\r\n font-weight: 700;\r\n font-style: normal;\r\n padding-left: 7px\r\n}\r\n\r\n.view-grid .search-result-item .meta {\r\n bottom: 16px;\r\n left: 20px;\r\n position: absolute\r\n}\r\n\r\n.view-list .search-result-item .meta {\r\n margin: 0;\r\n padding: 0 0 0 18px\r\n}\r\n\r\n.view-list li.message .meta {\r\n padding: 0\r\n}\r\n\r\n.results .search-result-item .meta .meta-info-text {\r\n border-left: 0;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.results .search-result-item .meta .last-update {\r\n border-right: #444 1px solid;\r\n margin-right: 10px;\r\n padding-right: 10px\r\n}\r\n\r\n.results .search-result-item .video-icon {\r\n background: url(../../images/modules/search/search-icons.png) 0 -219px no-repeat;\r\n height: 30px;\r\n left: 50%;\r\n margin: -13px 0 0 -16px;\r\n position: absolute;\r\n top: 50%;\r\n width: 30px\r\n}\r\n\r\n.view-list .search-result-item .video-icon {\r\n left: 50px;\r\n margin: -16px 0 0 -14px\r\n}\r\n\r\n.video .search-result-figure>.search-video:after {\r\n background: transparent url(../../images/modules/search/search-icons.png) no-repeat 0 -219px;\r\n content: '';\r\n width: 30px;\r\n height: 30px;\r\n top: 75px;\r\n left: 105px;\r\n position: absolute\r\n}\r\n\r\n.view-list .video .search-result-figure>.search-video:after {\r\n top: 25px;\r\n left: 37px\r\n}\r\n\r\n.search-no-image-spacer {\r\n padding: 15px\r\n}\r\n\r\n.view-list .search-result-title-noimage {\r\n padding: 0;\r\n font-size: 18px;\r\n width: 100%;\r\n height: 25px;\r\n position: relative\r\n}\r\n\r\n.search-result-title-noimage {\r\n font-size: 24px;\r\n left: 18px;\r\n line-height: 27px;\r\n padding-top: 22px;\r\n overflow: hidden;\r\n position: absolute;\r\n width: 200px;\r\n top: 0;\r\n height: 172px\r\n}\r\n\r\n.view-grid .gallery-thumb-list {\r\n display: none\r\n}\r\n\r\n.view-list .gallery-thumb {\r\n display: none\r\n}\r\n\r\n.view-grid .message .search-results-headline {\r\n font-size: 145%;\r\n line-height: 110%;\r\n padding-top: 37px\r\n}\r\n\r\n.view-list .message .search-results-headline {\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.results .google-ads p {\r\n color: #666;\r\n font-size: 80%;\r\n padding: 20px 20px 0\r\n}\r\n\r\n.results .google-ads img {\r\n border: 0;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.results .ad figcaption {\r\n color: #666;\r\n display: block;\r\n font-size: 60%;\r\n padding: 6px 0 0;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.results .related-searches .search-results-headline {\r\n color: #ccc;\r\n font-size: 20px;\r\n padding: 10px 0 18px;\r\n margin: 0;\r\n text-shadow: 0 1px 1px #000\r\n}\r\n\r\n.results .related-searches-list,.results .related-searches-item,.results .related-searches-link {\r\n border: 0;\r\n float: none;\r\n height: auto;\r\n margin: 0;\r\n padding: 0;\r\n width: auto;\r\n box-shadow: none\r\n}\r\n\r\n.results .related-searches .search-results-list {\r\n color: #1ea3ff;\r\n list-style: disc;\r\n padding: 0 0 0 30px\r\n}\r\n\r\n.results .related-searches .search-result-item {\r\n line-height: 130%\r\n}\r\n\r\n.results .related-searches .search-result-item-link {\r\n color: #1ea3ff;\r\n font-size: 13px;\r\n font-weight: 700;\r\n line-height: 150%\r\n}\r\n\r\n.results .related-searches .search-result-item-link:hover {\r\n background: 0;\r\n color: #1ea3ff\r\n}\r\n\r\n.results .more-results {\r\n background: transparent url(../../images/preloaders/windmill-loader_2x_dark.gif) no-repeat 49% 48%;\r\n display: none;\r\n min-height: 94px\r\n}\r\n\r\n.summary {\r\n background: #262626;\r\n background: -moz-linear-gradient(#292929,#1b1b1b);\r\n background: -ms-linear-gradient(#292929,#1b1b1b);\r\n background: -o-linear-gradient(#292929,#1b1b1b);\r\n background: -webkit-linear-gradient(#292929,#1b1b1b);\r\n background: linear-gradient(#292929,#1b1b1b);\r\n border-bottom: 1px solid #000;\r\n border-top: 1px solid #343434;\r\n box-shadow: 0 -1px 0 #000,inset 0 -1px 3px #181818;\r\n height: 48px;\r\n position: fixed;\r\n z-index: 100;\r\n margin: 0 auto;\r\n width: 100%\r\n}\r\n\r\n.summary .inner {\r\n height: 48px;\r\n margin: 0 auto;\r\n -webkit-transition: width 250ms;\r\n -moz-transition: width 250ms;\r\n -o-transition: width 250ms;\r\n transition: width 250ms\r\n}\r\n\r\n.summary.list .inner {\r\n width: 840px\r\n}\r\n\r\n.summary.grid .inner {\r\n width: 840px\r\n}\r\n\r\n.summary h2 {\r\n float: left;\r\n font-size: 20px;\r\n margin: 0;\r\n padding: 9px 0 0;\r\n text-shadow: 0 1px 1px #262626\r\n}\r\n\r\n.summary .results-count {\r\n color: #666;\r\n float: left;\r\n font-size: 40px;\r\n font-weight: 700;\r\n line-height: 49px;\r\n text-shadow: 0 1px 1px #000\r\n}\r\n\r\n.summary .search-term {\r\n color: #666;\r\n float: left;\r\n font-size: 22px;\r\n padding: 9px 0 0 9px;\r\n text-shadow: 0 1px 1px #000\r\n}\r\n\r\n.summary .search-term .term {\r\n color: #fff;\r\n font-weight: 700\r\n}\r\n\r\n.summary .btn-bar {\r\n padding-top: 7px;\r\n float: right\r\n}\r\n\r\n.summary .grid-btn,.summary .list-btn {\r\n width: 88px\r\n}\r\n\r\n.summary .grid-btn:before,.summary .list-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n position: relative;\r\n height: 10px;\r\n margin-right: 5px;\r\n overflow: hidden;\r\n width: 12px\r\n}\r\n\r\n.summary .grid-btn:before {\r\n width: 11px;\r\n height: 9px;\r\n margin-top: 10px;\r\n background-position: -284px -25px\r\n}\r\n\r\n.summary .grid-btn.active:before {\r\n background-position: -284px -6px\r\n}\r\n\r\n.summary .list-btn:before {\r\n background-position: -305px -24px\r\n}\r\n\r\n.summary .list-btn.active:before {\r\n background-position: -305px -5px\r\n}\r\n\r\n.summary .ui-btn.close {\r\n width: 18px\r\n}\r\n\r\n.summary .ui-btn {\r\n float: left;\r\n margin-left: 8px\r\n}\r\n\r\n.browser-shadow {\r\n background: transparent url(../../images/dropshadows/win-bot.png) repeat-x 0 0;\r\n bottom: 0;\r\n height: 31px;\r\n position: fixed;\r\n width: 100%;\r\n z-index: 100\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .results .inner {\r\n width:959px\r\n }\r\n \r\n .summary.grid .inner {\r\n width: 1080px\r\n }\r\n \r\n .view-list .inner {\r\n width: 958px\r\n }\r\n \r\n .summary.list .inner {\r\n width: 1080px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .results .inner {\r\n width:1198px\r\n }\r\n \r\n .summary.grid .inner {\r\n width: 1202px\r\n }\r\n \r\n .summary .inner,.summary.list .inner {\r\n width: 1202px\r\n }\r\n \r\n .view-grid .list-content .search-result-item.topresult,.view-grid .list-content .search-result-item.topresult .search-result-item-link {\r\n height: 516px;\r\n width: 477px\r\n }\r\n \r\n .view-grid .list-content .search-result-item.topresult .search-result-image {\r\n width: 440px\r\n }\r\n \r\n .view-grid .list-content .search-result-item.topresult .search-result-figure {\r\n height: auto;\r\n margin-bottom: 0\r\n }\r\n \r\n .results .search-result-item.topresult .parent-label {\r\n left: 19px\r\n }\r\n}\r\n\r\n.no-results {\r\n padding: 20px 20px 0 0\r\n}\r\n\r\n.no-results .search-results-headline {\r\n margin-top: 0;\r\n font-size: 18px\r\n}\r\n\r\n.no-results .search-results-tryagain {\r\n font-size: 16px\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn {\r\n font-weight: 700;\r\n text-transform: none;\r\n font-family: helvetica,arial,sans-serif\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn:before {\r\n content: \"\";\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n display: inline-block;\r\n top: 5px;\r\n margin-right: 5px;\r\n overflow: hidden\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn:after {\r\n border-right: 0;\r\n background-color: transparent\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn-facebook {\r\n padding-left: 30px\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn-facebook:before {\r\n background-position: -926px 0;\r\n width: 7px;\r\n height: 17px;\r\n top: 9px\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn-google {\r\n padding-left: 40px\r\n}\r\n\r\n.ui-chunky-btn.ugc-login-btn-google:before {\r\n background-position: -1045px 0;\r\n width: 18px;\r\n height: 19px;\r\n top: 9px\r\n}\r\n\r\n.ui-chunky-btn.plus:before {\r\n color: #fff;\r\n content: \"+\";\r\n font-weight: 700;\r\n font-size: 28px;\r\n right: 9px;\r\n position: absolute;\r\n text-shadow: 0 1px 0 #007acc;\r\n top: -5px\r\n}\r\n\r\n.ui-chunky-btn.ugc-upload-form-share-your-contribution-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) no-repeat -396px 2px;\r\n top: 7px;\r\n left: 10px;\r\n width: 18px;\r\n height: 25px\r\n}\r\n\r\n.ui-chunky-btn.ugc-upload-select-files-btn:before,.ui-chunky-btn.ugc-upload-form-contribute-more-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) no-repeat -118px -18px;\r\n height: 16px;\r\n left: 12px;\r\n top: 11px;\r\n width: 16px\r\n}\r\n\r\n.ugc-view-all-my-contributions-link {\r\n display: none\r\n}\r\n\r\n.ugc-tos-link,.ugc-tos-link:visited {\r\n color: #333;\r\n font-size: 12px;\r\n font-weight: 700;\r\n margin-top: 0;\r\n position: relative;\r\n padding-left: 26px;\r\n line-height: 20px;\r\n height: 20px\r\n}\r\n\r\n.ugc-tos-link:hover {\r\n color: #0af\r\n}\r\n\r\n.ugc-tos-link:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -96px 0 no-repeat;\r\n content: \"\";\r\n display: block;\r\n width: 20px;\r\n height: 22px;\r\n position: absolute;\r\n left: 0;\r\n top: 0\r\n}\r\n\r\n.ugc-my-contributions-or-contribute-link {\r\n display: none\r\n}\r\n\r\n.ugc-media-item-report-abuse-tooltip-panel {\r\n white-space: nowrap\r\n}\r\n\r\n.ugc-media-item-report-abuse-link.ui-btn {\r\n box-shadow: none;\r\n border-color: #d1d1d1\r\n}\r\n\r\n.ugc-media-item-report-abuse-link.ui-btn,.ugc-media-item-report-abuse-link.ui-btn:hover {\r\n float: right;\r\n text-shadow: none;\r\n padding: 0 3px\r\n}\r\n\r\n.ugc-media-item-report-abuse-link.ui-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -371px 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 16px;\r\n margin-right: 5px;\r\n overflow: hidden;\r\n position: relative;\r\n top: 3px;\r\n width: 14px\r\n}\r\n\r\n.ugc-media-item-report-abuse-sent.ui-btn:before,.ugc-media-item-report-abuse-sent.ui-btn:before:hover {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -371px -21px no-repeat\r\n}\r\n\r\n.ugc-post-item-meta {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n position: relative;\r\n padding-left: 40px;\r\n margin-top: 10px\r\n}\r\n\r\n.ugc-author-meta-avatar {\r\n box-shadow: 0 1px 2px 0 rgba(0,0,0,.45);\r\n border: 2px solid #fff;\r\n display: inline-block;\r\n vertical-align: top;\r\n height: 27px;\r\n width: 27px\r\n}\r\n\r\n.ugc-post-item-meta-avatar-link {\r\n position: absolute;\r\n top: 0;\r\n left: 0\r\n}\r\n\r\n.ugc-post-item-meta-text {\r\n font-size: 11px;\r\n color: #666;\r\n list-style-type: none;\r\n margin: 0;\r\n padding: 0;\r\n display: inline-block;\r\n vertical-align: top\r\n}\r\n\r\n.ugc-post-item-meta-author-name {\r\n font-weight: 700\r\n}\r\n\r\n.ugc-post-item-meta-author-name-link,.ugc-post-item-meta-author-name-link:visited {\r\n color: #666\r\n}\r\n\r\n.ugc-post-item-meta-author-name-link:hover {\r\n color: #0af\r\n}\r\n\r\n.ugc-post-item-meta-creation-date {\r\n font-style: italic\r\n}\r\n\r\n.ugc-author-meta-link {\r\n width: auto;\r\n height: auto;\r\n display: inline-block;\r\n vertical-align: top\r\n}\r\n\r\n.site-nav-icon-span.site-nav-ugc-span {\r\n width: 16px\r\n}\r\n\r\n.site-nav-icon-span.site-nav-ugc-span:after {\r\n background-image: url(../../images/apps/ugc/ugc-sprite.png);\r\n background-position: -324px 0;\r\n height: 21px;\r\n margin-left: -8px;\r\n top: 10px;\r\n width: 16px\r\n}\r\n\r\n.site-nav-icon-span.site-nav-ugc-span:hover:after,.site-nav-active-span.site-nav-ugc-span {\r\n background-position: -324px -23px\r\n}\r\n\r\n.ugc-upload-form-view {\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n width: 100%;\r\n display: none;\r\n overflow: hidden;\r\n z-index: 1\r\n}\r\n\r\n.ugc-upload-form-view.view-active {\r\n z-index: 2;\r\n overflow: visible\r\n}\r\n\r\n.ugc-upload-file-input {\r\n display: block;\r\n width: 100%;\r\n height: 0;\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n background: transparent;\r\n padding-top: 100%;\r\n overflow: hidden;\r\n cursor: pointer;\r\n outline: 0\r\n}\r\n\r\n.ugc-upload-field-input.ui-form-field-required,.ugc-upload-field-textarea.ui-form-field-required {\r\n padding-right: 34px\r\n}\r\n\r\n.ugc-upload-field-textarea {\r\n resize: none\r\n}\r\n\r\n.ui-input-file-hidden-iframe {\r\n position: absolute;\r\n display: block;\r\n top: 0;\r\n left: 0;\r\n height: 100%;\r\n width: 100%;\r\n border: 0\r\n}\r\n\r\n.ugc-upload-field {\r\n margin: 0 0 20px;\r\n width: 100%;\r\n position: relative\r\n}\r\n\r\n.ugc-upload-field-input,.ugc-upload-field-select {\r\n width: 100%\r\n}\r\n\r\n.ugc-upload-field-char-counter {\r\n position: absolute;\r\n top: 0;\r\n right: 0;\r\n padding-right: 20px;\r\n font-size: 12px;\r\n color: #8c8c8c;\r\n line-height: 30px\r\n}\r\n\r\n.ugc-upload-field.required:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -153px -1px no-repeat;\r\n content: \"\";\r\n display: block;\r\n right: 5px;\r\n height: 10px;\r\n position: absolute;\r\n top: 10px;\r\n width: 10px\r\n}\r\n\r\n.ugc-upload-location-input {\r\n padding-right: 24px;\r\n padding-left: 24px\r\n}\r\n\r\n.ugc-upload-location-input-wrap {\r\n position: relative;\r\n display: inline-block;\r\n width: 100%\r\n}\r\n\r\n.ugc-upload-location-input-wrap:before {\r\n content: \"\";\r\n display: block;\r\n position: absolute;\r\n width: 16px;\r\n height: 0;\r\n overflow: hidden;\r\n padding-top: 16px;\r\n left: 6px;\r\n top: 7px;\r\n background: transparent url(../../images/modules/forms/forms-sprite2.png) -69px -7px no-repeat;\r\n z-index: 9\r\n}\r\n\r\n.ugc-upload-file-thumb {\r\n background: #d9d9d9;\r\n background: rgba(0,0,0,.05);\r\n box-shadow: inset 0 1px 2px rgba(0,0,0,.3),0 1px 1px #fff;\r\n border-radius: 3px;\r\n display: inline-block;\r\n position: relative;\r\n white-space: normal\r\n}\r\n\r\n.ugc-upload-file-thumb-inactive {\r\n background: 0;\r\n box-shadow: none;\r\n cursor: pointer\r\n}\r\n\r\n.ugc-upload-file-thumb-empty {\r\n width: 100%;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n border: 2px dotted #cfcfcf;\r\n border-radius: 3px\r\n}\r\n\r\n.ugc-upload-file-thumb-empty.ui-chunky-btn:after {\r\n background-position: -118px -18px\r\n}\r\n\r\n.ugc-upload-file-preview-wrap {\r\n display: none;\r\n width: 100%;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n z-index: 1;\r\n overflow: hidden;\r\n border-radius: 3px;\r\n text-align: center\r\n}\r\n\r\n.ugc-upload-file-preview-wrap.ugc-upload-file-fail {\r\n display: none\r\n}\r\n\r\n.ugc-nonprogressive-upload .ugc-upload-file-preview-wrap,.ugc-nonprogressive-upload .ugc-upload-file-thumb-error-wrap {\r\n background: #d9d9d9;\r\n box-shadow: inset 0 1px 2px rgba(0,0,0,.3),0 1px 1px #fff;\r\n border-radius: 3px\r\n}\r\n\r\n.ugc-upload-file-media-preview-wrap {\r\n background: -moz-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(96,96,96,.09)),color-stop(100%,rgba(19,19,19,.19)));\r\n background: -webkit-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -o-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -ms-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: linear-gradient(to bottom,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#17606060', endColorstr='#30131313', GradientType=0);\r\n background-size: cover;\r\n border-radius: 3px;\r\n border-top-right-radius: 0;\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n z-index: 0\r\n}\r\n\r\n.ugc-upload-file-media-preview-wrap:before {\r\n content: \"\";\r\n position: absolute;\r\n display: block;\r\n top: 0;\r\n left: 0;\r\n width: 100%;\r\n height: 100%;\r\n z-index: 5;\r\n transition: opacity .4s;\r\n -moz-transition: opacity .4s;\r\n -webkit-transition: opacity .4s;\r\n background: #000;\r\n opacity: .6\r\n}\r\n\r\n.ugc-upload-file-done .ugc-upload-file-media-preview-wrap:before {\r\n opacity: 0\r\n}\r\n\r\n.ugc-nonprogressive-upload .ugc-upload-file-media-preview-wrap:before {\r\n background: transparent;\r\n opacity: 1\r\n}\r\n\r\n.ugc-upload-file-media-preview-image,.ugc-upload-file-media-preview-video,.ugc-upload-file-media-no-preview {\r\n display: block;\r\n z-index: 2;\r\n position: absolute;\r\n top: 0;\r\n left: 0\r\n}\r\n\r\n.ugc-upload-file-progress-text {\r\n position: absolute\r\n}\r\n\r\n.ugc-nonprogressive-upload .ugc-upload-file-progress-text {\r\n font-size: 12px;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.3);\r\n color: #fff;\r\n display: inline-block;\r\n vertical-align: top;\r\n margin-top: 73px;\r\n top: 0;\r\n left: 0;\r\n padding: 0 10%;\r\n width: 80%\r\n}\r\n\r\n.ugc-progressive-upload .ugc-upload-file-progress-percentage-text {\r\n display: block;\r\n width: 100%;\r\n font-size: 16px;\r\n line-height: 16px;\r\n margin-top: -8px;\r\n padding: 0;\r\n top: 50%;\r\n z-index: 3;\r\n color: #fff;\r\n font-weight: 700;\r\n text-align: center;\r\n text-shadow: 0 1px 2px rgba(0,0,0,.5);\r\n transition: opacity .4s;\r\n -moz-transition: opacity .4s;\r\n -webkit-transition: opacity .4s;\r\n opacity: 1\r\n}\r\n\r\n.ugc-progressive-upload.ugc-upload-file-done .ugc-upload-file-progress-percentage-text {\r\n opacity: 0\r\n}\r\n\r\n.ugc-upload-file-thumb-error-wrap {\r\n display: none;\r\n text-align: center;\r\n width: 100%;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n padding: 20px;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n border-radius: 3px\r\n}\r\n\r\n.ugc-upload-file-thumb-error-wrap.active {\r\n display: block;\r\n z-index: 1\r\n}\r\n\r\n.ugc-upload-file-thumb-error-heading {\r\n font-size: 15px;\r\n font-weight: 700;\r\n position: relative;\r\n padding-top: 30px;\r\n text-align: center;\r\n color: #333\r\n}\r\n\r\n.ugc-upload-file-thumb-error-heading:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -20px -68px no-repeat;\r\n content: \"\";\r\n display: block;\r\n position: absolute;\r\n top: 0;\r\n width: 20px;\r\n height: 0;\r\n left: 50%;\r\n margin-left: -10px;\r\n padding-top: 21px;\r\n overflow: hidden\r\n}\r\n\r\n.ugc-upload-file-thumb-error-text {\r\n font-size: 12px;\r\n color: #737373\r\n}\r\n\r\n.ugc-upload-file-thumb-remove {\r\n background: #000 url(../../images/apps/ugc/ugc-sprite.png) -25px -3px no-repeat;\r\n border-radius: 30px;\r\n box-shadow: 0 2px 2px rgba(0,0,0,.3);\r\n cursor: pointer;\r\n display: none;\r\n height: 21px;\r\n position: absolute;\r\n right: -10px;\r\n top: -10px;\r\n width: 21px;\r\n z-index: 2\r\n}\r\n\r\n.ugc-upload-file-thumb-empty:after {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) no-repeat -150px -18px;\r\n content: \"\";\r\n display: block;\r\n height: 16px;\r\n left: 56px;\r\n position: absolute;\r\n top: 56px;\r\n width: 16px\r\n}\r\n\r\n.ugc-upload-file-thumb-inactive:hover>.ugc-upload-file-thumb-empty:after {\r\n background-position: -134px -18px\r\n}\r\n\r\n.ugc-upload-file-thumb-inactive.first:hover>.ugc-upload-file-thumb-empty {\r\n color: #fff;\r\n background: #38b4ff;\r\n background: -moz-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(56,180,255,1)),color-stop(100%,rgba(46,164,255,1)));\r\n background: -webkit-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -o-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: linear-gradient(to bottom,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#38b4ff', endColorstr='#2ea4ff', GradientType=0)\r\n}\r\n\r\n.ugc-upload-file-thumb-inactive.first:hover>.ugc-upload-file-thumb-empty:after {\r\n background-position: -118px -18px\r\n}\r\n\r\n.ugc-upload-success-file {\r\n overflow: hidden;\r\n border-radius: 3px\r\n}\r\n\r\n.ugc-upload-success-media {\r\n border-radius: 3px;\r\n box-shadow: 1px 2px 3px 0 rgba(0,0,0,.2);\r\n display: inline-block;\r\n vertical-align: top\r\n}\r\n\r\n.ugc-upload-file-media-no-preview,.ugc-upload-success-media-no-preview {\r\n width: 100%;\r\n height: 100%;\r\n border-radius: 3px\r\n}\r\n\r\n.ugc-upload-success-media-no-preview {\r\n background: -moz-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(96,96,96,.09)),color-stop(100%,rgba(19,19,19,.19)));\r\n background: -webkit-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -o-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: -ms-linear-gradient(top,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n background: linear-gradient(to bottom,rgba(96,96,96,.09) 0,rgba(19,19,19,.19) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#17606060', endColorstr='#30131313', GradientType=0)\r\n}\r\n\r\n.ugc-upload-file-media-no-preview:before,.ugc-upload-success-media-no-preview:before {\r\n content: \"\";\r\n position: absolute;\r\n display: block;\r\n top: 50%;\r\n left: 50%;\r\n background-image: url(../../images/apps/ugc/ugc-sprite.png);\r\n background-repeat: no-repeat\r\n}\r\n\r\n.ugc-upload-file-media-no-preview-video:before,.ugc-upload-success-media-no-preview.ugc-upload-success-media-video:before {\r\n background-position: -62px -91px;\r\n width: 33px;\r\n height: 33px;\r\n margin-left: -19px;\r\n margin-top: -18px\r\n}\r\n\r\n.ugc-upload-file-media-no-preview-image:before,.ugc-upload-success-media-no-preview.ugc-upload-success-media-image:before {\r\n background-position: -96px -91px;\r\n width: 40px;\r\n height: 33px;\r\n margin-left: -19px;\r\n margin-top: -18px\r\n}\r\n\r\n.ugc-nonprogressive-upload .ugc-upload-file-pending .ugc-upload-file-media-no-preview:before {\r\n content: \"\";\r\n position: absolute;\r\n display: block;\r\n top: 42px;\r\n left: 50%;\r\n width: 29px;\r\n height: 29px;\r\n background: url(../../images/apps/ugc/image-preview-loading.gif) 0 0 no-repeat;\r\n margin-left: -15px\r\n}\r\n\r\n.ugc-upload-form-submission-text-username {\r\n font-weight: 700\r\n}\r\n\r\n.ugc-upload-submit-btn,.ugc-upload-cancel-btn {\r\n text-align: center\r\n}\r\n\r\n.ugc-upload-submit-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) no-repeat -118px 0;\r\n content: \"\";\r\n height: 16px;\r\n left: 12px;\r\n position: absolute;\r\n top: 13px;\r\n width: 16px;\r\n border-right: 0;\r\n box-shadow: none\r\n}\r\n\r\n.ugc-upload-submit-btn:after {\r\n content: \"\";\r\n display: block;\r\n height: 40px;\r\n left: 40px;\r\n position: absolute;\r\n top: 0;\r\n width: 1px;\r\n border-right: 1px solid #ebebeb;\r\n border-right: 1px solid rgba(255,255,255,.1);\r\n box-shadow: inset -1px 0 0 rgba(0,0,0,.1)\r\n}\r\n\r\n.ugc-upload-submit-btn-disabled,.ugc-upload-submit-btn-disabled:hover {\r\n background: #c3c3c3;\r\n background: -moz-linear-gradient(top,rgba(203,203,203,1) 0,rgba(193,193,193,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(203,203,203,1)),color-stop(100%,rgba(193,193,193,1)));\r\n background: -webkit-linear-gradient(top,rgba(203,203,203,1) 0,rgba(193,193,193,1) 100%);\r\n background: -o-linear-gradient(top,rgba(203,203,203,1) 0,rgba(193,193,193,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(203,203,203,1) 0,rgba(193,193,193,1) 100%);\r\n background: linear-gradient(to bottom,rgba(203,203,203,1) 0,rgba(193,193,193,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#cbcbcb', endColorstr='#c1c1c1', GradientType=0);\r\n border-color: silver;\r\n cursor: default;\r\n opacity: .5;\r\n box-shadow: none\r\n}\r\n\r\n.ugc-upload-submit-btn-sending.ui-chunky-btn.icon:before {\r\n background-image: url(../../images/indicators/progress-small-blue.gif);\r\n top: 8px;\r\n left: 9px;\r\n width: 20px;\r\n height: 20px;\r\n background-position: 0 0\r\n}\r\n\r\n.ugc-upload-find-my-location-btn {\r\n background: #21acff;\r\n background: -moz-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(33,172,255,1)),color-stop(100%,rgba(27,154,255,1)));\r\n background: -webkit-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -o-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n background: linear-gradient(to bottom,rgba(33,172,255,1) 0,rgba(27,154,255,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr=\"#21acff\", endColorstr=\"#1b9aff\", GradientType=0);\r\n border: 1px solid #149cee;\r\n border-radius: 3px;\r\n box-shadow: 0 1px 1px rgba(0,0,0,.2);\r\n font-family: \"Futura Today Bold\",helvetica,sans-serif;\r\n font-size: 13px;\r\n color: #fff;\r\n display: inline-block;\r\n height: 28px;\r\n padding: 0;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.1);\r\n cursor: pointer;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n width: 30px;\r\n margin-left: 10px;\r\n vertical-align: top;\r\n position: relative\r\n}\r\n\r\n.ugc-upload-find-my-location-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) -167px -0px no-repeat;\r\n content: \"\";\r\n display: block;\r\n height: 17px;\r\n left: 10px;\r\n position: absolute;\r\n top: 8px;\r\n width: 10px\r\n}\r\n\r\n.ugc-upload-find-my-location-btn:hover {\r\n color: #fff;\r\n background: #38b4ff;\r\n background: -moz-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(56,180,255,1)),color-stop(100%,rgba(46,164,255,1)));\r\n background: -webkit-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -o-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n background: linear-gradient(to bottom,rgba(56,180,255,1) 0,rgba(46,164,255,1) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#38b4ff', endColorstr='#2ea4ff', GradientType=0)\r\n}\r\n\r\n.ugc-upload-location-powered-by-link,.ugc-upload-location-powered-by-link:visited {\r\n display: inline-block;\r\n color: #666;\r\n font-size: 11px;\r\n font-weight: 700;\r\n float: right;\r\n margin-top: 8px\r\n}\r\n\r\n.ugc-upload-location-powered-by-logo {\r\n background: url(https://playfoursquare.s3.amazonaws.com/press/logo/poweredByFoursquare_16x16.png) 0 0 no-repeat;\r\n display: inline-block;\r\n height: 16px;\r\n overflow: hidden;\r\n text-indent: 100%;\r\n white-space: nowrap;\r\n width: 16px;\r\n vertical-align: middle\r\n}\r\n\r\n#ugc-signin-overlay {\r\n position: absolute;\r\n width: 100%;\r\n height: 100%;\r\n background: url(../../images/apps/ugc/ie_transparent_bg-50.png) repeat;\r\n background: rgba(0,0,0,.5);\r\n top: 0;\r\n left: 0;\r\n z-index: 2;\r\n display: none\r\n}\r\n\r\n.ugc-signin-overlay-container {\r\n background: #ebebeb url(../../images/patterns/paper-noise-bar.png) repeat top left;\r\n width: 460px;\r\n z-index: 0;\r\n text-align: center;\r\n padding: 32px 30px;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: block;\r\n position: fixed;\r\n left: 50%;\r\n margin-left: -222px;\r\n top: 50%;\r\n margin-top: -78px\r\n}\r\n\r\n.ugc-signin-overlay-heading {\r\n color: #000;\r\n font-weight: 700;\r\n font-size: 32px;\r\n margin-bottom: 16px\r\n}\r\n\r\n.ugc-signin-overlay-login-buttons {\r\n display: block;\r\n text-align: center\r\n}\r\n\r\n.ugc-signin-overlay-login-btn.ui-chunky-btn {\r\n display: inline-block\r\n}\r\n\r\n.ugc-signin-overlay-login-btn-facebook.ui-chunky-btn {\r\n margin-right: 16px\r\n}\r\n\r\narticle.cards.stag {\r\n background: url(../../images/modules/topic/stag-noise.gif)\r\n}\r\n\r\narticle.stag.ui-loading {\r\n background-color: #3c3c3c\r\n}\r\n\r\narticle.cards.stag .card-container {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,.41) 0,rgba(0,0,0,0) 184px);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0,rgba(0,0,0,.41)),color-stop(184px,rgba(0,0,0,0)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,.41) 0,rgba(0,0,0,0) 184px);\r\n background: -o-linear-gradient(top,rgba(0,0,0,.41) 0,rgba(0,0,0,0) 184px);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,.41) 0,rgba(0,0,0,0) 184px);\r\n background: linear-gradient(top,rgba(0,0,0,.41) 0,rgba(0,0,0,0) 184px);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#d4000000', endColorstr='#00000000', GradientType=0);\r\n padding-bottom: 0\r\n}\r\n\r\n.stagfront-hero-3up-tile.hero-3up-tile {\r\n background: #2e2e2e url(../../images/patterns/dark-noise.png)\r\n}\r\n\r\n.hero-3up-tile-1:hover .stagfront-hero-3up-header {\r\n color: #ccc\r\n}\r\n\r\n.hero-3up-media-noimage>.stagfront-hero-3up-text {\r\n position: absolute\r\n}\r\n\r\n.stagfront-hero-3up-text {\r\n bottom: 10px;\r\n color: #fff;\r\n padding-bottom: 10px;\r\n position: relative;\r\n width: 100%;\r\n z-index: 53\r\n}\r\n\r\n.stagfront-hero-3up-text-1 {\r\n background: url(data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiA/Pgo8c3ZnIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIgd2lkdGg9IjEwMCUiIGhlaWdodD0iMTAwJSIgdmlld0JveD0iMCAwIDEgMSIgcHJlc2VydmVBc3BlY3RSYXRpbz0ibm9uZSI+CiAgPGxpbmVhckdyYWRpZW50IGlkPSJncmFkLXVjZ2ctZ2VuZXJhdGVkIiBncmFkaWVudFVuaXRzPSJ1c2VyU3BhY2VPblVzZSIgeDE9IjAlIiB5MT0iMCUiIHgyPSIwJSIgeTI9IjEwMCUiPgogICAgPHN0b3Agb2Zmc2V0PSIwJSIgc3RvcC1jb2xvcj0iIzAwMDAwMCIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgICA8c3RvcCBvZmZzZXQ9IjEwMCUiIHN0b3AtY29sb3I9IiMwMDAwMDAiIHN0b3Atb3BhY2l0eT0iMC44Ii8+CiAgPC9saW5lYXJHcmFkaWVudD4KICA8cmVjdCB4PSIwIiB5PSIwIiB3aWR0aD0iMSIgaGVpZ2h0PSIxIiBmaWxsPSJ1cmwoI2dyYWQtdWNnZy1nZW5lcmF0ZWQpIiAvPgo8L3N2Zz4=);\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.8) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.8)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.8) 100%);\r\n background: -o-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.8) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.8) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.8) 100%);\r\n bottom: 0;\r\n position: absolute\r\n}\r\n\r\n.hero-3up-tile.stagfront-hero-3up-tile>.parent-label {\r\n left: 20px;\r\n top: 20px\r\n}\r\n\r\n.stagfront-hero-3up-header.stagfront-hero-3up-header-1 {\r\n color: #fff;\r\n font-size: 30px;\r\n line-height: 35px;\r\n margin: 0;\r\n padding: 20px 20px 10px;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4)\r\n}\r\n\r\n.stagfront-hero-3up-header {\r\n color: #ccc;\r\n font-size: 13px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 0;\r\n padding: 10px 20px;\r\n text-shadow: 2px 2px 2px rgba(0,0,0,.4);\r\n -webkit-transition: color .25s ease-out;\r\n -moz-transition: color .25s ease-out;\r\n -ms-transition: color .25s ease-out;\r\n -o-transition: color .25s ease-out;\r\n transition: color .25s ease-out\r\n}\r\n\r\n.stagfront-hero-3up-action:hover {\r\n background-position: 0 -17px;\r\n color: #0af\r\n}\r\n\r\n.stagfront-hero-3up-action {\r\n background: transparent url(../../images/buttons/sprite-hero.png) no-repeat top left;\r\n display: block;\r\n height: 12px;\r\n font-size: 12px;\r\n font-weight: 700;\r\n margin: 0 18px 12px;\r\n padding: 0 22px 5px;\r\n min-width: 86px\r\n}\r\n\r\n.stagfront-hero-3up-subhead {\r\n color: #fff;\r\n display: block;\r\n font-size: 12px;\r\n padding: 0 20px 10px\r\n}\r\n\r\narticle.cards.stag .card-wrap {\r\n width: auto\r\n}\r\n\r\n.stagfront-content {\r\n margin: 0 auto;\r\n width: 960px\r\n}\r\n\r\narticle.cards.stag .content-wrap {\r\n background: #fff;\r\n box-shadow: 0 2px 10px rgba(0,0,0,.08);\r\n margin-bottom: 40px\r\n}\r\n\r\n.stag-masthead {\r\n padding-top: 30px;\r\n text-align: center\r\n}\r\n\r\n.stagfront-primary {\r\n clear: both;\r\n position: relative\r\n}\r\n\r\n.headline-grid-module.stagfront-primary {\r\n background: url(../../images/patterns/light-grey-linen.jpg);\r\n border-bottom: 1px solid #cfcfcf\r\n}\r\n\r\n.stag-mod-headlines-title {\r\n color: #666;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 15px;\r\n font-weight: 400;\r\n margin: 6px 0 0;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 #fff;\r\n float: left\r\n}\r\n\r\n.headlines-view-toggle {\r\n float: right\r\n}\r\n\r\n.headlines-view-toggle>.grid-btn:before,.headlines-view-toggle>.list-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n line-height: 13px;\r\n position: relative;\r\n top: 2px;\r\n margin-right: 5px;\r\n overflow: hidden\r\n}\r\n\r\n.headlines-view-toggle>.grid-btn:before {\r\n background-position: -883px -24px;\r\n height: 12px;\r\n width: 14px\r\n}\r\n\r\n.headlines-view-toggle>.grid-btn.active:before {\r\n background-position: -883px -4px\r\n}\r\n\r\n.headlines-view-toggle>.list-btn:before {\r\n background-position: -902px -24px;\r\n height: 12px;\r\n width: 16px\r\n}\r\n\r\n.headlines-view-toggle>.list-btn.active:before {\r\n background-position: -902px -4px\r\n}\r\n\r\n.headlines-header:before {\r\n border-bottom: 1px solid silver;\r\n bottom: 0;\r\n content: '';\r\n display: block;\r\n height: 0;\r\n left: 0;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.stagfront-primary .galleries {\r\n background: #f7f7f7;\r\n height: 645px\r\n}\r\n\r\narticle.stag .galleries.full>.viewport>.slide img {\r\n max-height: 520px;\r\n max-width: 920px\r\n}\r\n\r\n.stagfront-primary .galleries>.viewport {\r\n height: 540px;\r\n padding-top: 10px\r\n}\r\n\r\n.featured-content-module.stagfront-primary {\r\n background: #506975 url(../../images/modules/topic/stag-footer-noise.png);\r\n overflow: hidden;\r\n width: 960px\r\n}\r\n\r\n.stagfront-primary .featured-content-li {\r\n width: 192px\r\n}\r\n\r\n.stagfront-primary .featured-content-a {\r\n color: #889aa4;\r\n padding: 0 31px\r\n}\r\n\r\n.stagfront-primary .featured-content-a .featured-content-h3 {\r\n color: #fff;\r\n text-shadow: 0 1px 2px rgba(0,0,0,.4)\r\n}\r\n\r\n.stagfront-primary .featured-content-updated {\r\n display: block;\r\n color: #889aa4\r\n}\r\n\r\n.stagfront-primary .featured-content-img {\r\n border: 5px solid #a8b5bb;\r\n box-shadow: 0 3px 6px 3px rgba(0,0,0,.2)\r\n}\r\n\r\n.stagfront-primary .featured-content-p {\r\n width: 960px\r\n}\r\n\r\n.stagfront-primary .featured-content .bottom-bar {\r\n background: #fff;\r\n border-bottom: 1px solid #d6d6d6;\r\n box-shadow: 3px 4px 5px 6px rgba(0,0,0,.4);\r\n height: 2px;\r\n margin: 10px 0 0\r\n}\r\n\r\n.stagfront-primary .featured-content-no-border {\r\n border: 0\r\n}\r\n\r\n.stagfront-primary .galleries>.thumbs {\r\n left: 0;\r\n right: 0\r\n}\r\n\r\n#blog-card .stag-masthead>h1 {\r\n font: 400 42px/48px 'Futura Today Bold','helvetica neue',arial,sans-serif;\r\n margin-bottom: 15px;\r\n text-transform: uppercase;\r\n position: relative\r\n}\r\n\r\n.stag .media .load-story {\r\n display: inline-block\r\n}\r\n\r\n.stag-masthead-link,.stag-masthead-link:visited {\r\n color: #fff\r\n}\r\n\r\n.stag-masthead-link:hover {\r\n color: rgba(255,255,255,.8);\r\n color: #c8c8c8\r\n}\r\n\r\n.topics-sub-nav {\r\n background: url(../../images/topics/bg-sub-nav.png) no-repeat 0 0 #cecece;\r\n display: block;\r\n height: 50px;\r\n list-style: none outside none\r\n}\r\n\r\n.content-wrap .topics-sub-nav-item {\r\n background: url(../../images/topics/bg-sub-nav-border.png) no-repeat 0 0;\r\n float: left;\r\n font-size: 14px;\r\n height: 50px;\r\n margin: 0;\r\n text-align: center\r\n}\r\n\r\n.content-wrap .topics-sub-nav-item.first-topic-nav-item {\r\n background: 0\r\n}\r\n\r\n.content-wrap .topics-sub-nav-item-active,.content-wrap .topics-sub-nav-item.first-topic-nav-item.topics-sub-nav-item-active {\r\n background: url(../../images/topics/bg-sub-nav-active.png) repeat 0 0 #afafaf\r\n}\r\n\r\n.topics-sub-nav-link,.topics-sub-nav-link:visited {\r\n color: #333;\r\n display: block;\r\n float: left;\r\n font-family: 'Futura Today bold',arial,sans-serif;\r\n font-size: 12px;\r\n line-height: 50px;\r\n text-align: center;\r\n text-decoration: none;\r\n text-transform: uppercase;\r\n width: 100%\r\n}\r\n\r\n.topics-sub-nav-item.last-topic-nav-item .topics-sub-nav-link,.topics-sub-nav-item-active>.topics-sub-nav-link {\r\n background: 0\r\n}\r\n\r\n.topics-sub-nav-link:hover {\r\n color: #000\r\n}\r\n\r\n.nav-items-1>.topics-sub-nav-item {\r\n width: 100%\r\n}\r\n\r\n.nav-items-2>.topics-sub-nav-item {\r\n width: 50%\r\n}\r\n\r\n.nav-items-3>.topics-sub-nav-item {\r\n width: 33.3333%\r\n}\r\n\r\n.nav-items-4>.topics-sub-nav-item {\r\n width: 25%\r\n}\r\n\r\n.nav-items-5>.topics-sub-nav-item {\r\n width: 20%\r\n}\r\n\r\n.topic-ios-bookmark-tip {\r\n display: none;\r\n position: absolute;\r\n top: 30px\r\n}\r\n\r\n.stag .partner-leavebehind {\r\n float: right;\r\n height: auto;\r\n margin-left: 20px;\r\n position: relative;\r\n top: auto;\r\n width: 100px\r\n}\r\n\r\n.stag .partner-leavebehind .leavebehind-sponsoredby {\r\n padding-bottom: 10px;\r\n width: 100px\r\n}\r\n\r\n.stag .partner-leavebehind .leavebehind-image {\r\n margin: 0;\r\n width: 100px\r\n}\r\n\r\n#blog-card .stag-masthead>.stag-masthead-name {\r\n font: 400 50px/58px 'Futura Today Bold',helvetica,arial,sans-serif;\r\n margin-bottom: 15px;\r\n text-transform: uppercase;\r\n position: relative\r\n}\r\n\r\n#blog-card.fixed {\r\n position: fixed;\r\n width: 100%\r\n}\r\n\r\n.stag .headlines .page {\r\n width: 100%\r\n}\r\n\r\n.storybottombar-bucket {\r\n clear: left\r\n}\r\n\r\n#overlay .close-wrap {\r\n position: absolute;\r\n z-index: 112;\r\n width: 980px\r\n}\r\n\r\n#overlay .close {\r\n background: url(../../images/sprites/ui-sprite.png) -150px -205px no-repeat;\r\n height: 50px;\r\n position: absolute;\r\n right: -25px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n top: 10px;\r\n white-space: nowrap;\r\n width: 50px\r\n}\r\n\r\n#overlay .close:hover,.gallery.fullscreen .close:hover {\r\n background-position: -150px -255px\r\n}\r\n\r\n.article-metadata-wrap {\r\n float: left;\r\n margin: 0 30px 0 60px;\r\n position: relative;\r\n width: 180px\r\n}\r\n\r\n.article-metadata {\r\n position: relative\r\n}\r\n\r\n.pullquote-asset {\r\n float: left;\r\n margin: 10px 40px 10px 70px;\r\n padding: 0;\r\n width: 160px\r\n}\r\n\r\n.pull-quote-attribution {\r\n color: #999;\r\n float: left;\r\n font: 700 11px/14px arial,sans-serif;\r\n margin-top: -30px;\r\n padding: 0 5px;\r\n text-align: center;\r\n width: 150px\r\n}\r\n\r\n.pull-quote>.pull-quote-item {\r\n border-bottom: 1px dotted #dedede;\r\n border-top: 1px dotted #dedede;\r\n color: #999;\r\n font: 18px/25px arial,sans-serif;\r\n margin: 0;\r\n padding: 15px 10px;\r\n text-align: center\r\n}\r\n\r\n.pull-quotes-char {\r\n float: left;\r\n font: 60px georgia,serif;\r\n height: 30px;\r\n margin: -9px -39px 0;\r\n padding: 0 12px\r\n}\r\n\r\n.pull-quote-item>.right {\r\n float: right;\r\n margin: 55px -39px 0 0\r\n}\r\n\r\n.asset .story-highlights .hlts,.asset .blog-tag-cloud .hlts {\r\n border-bottom: 1px dotted #d4d4d4;\r\n color: #999;\r\n font: 400 12px/25px 'Futura Today Bold',arial,sans-serif;\r\n margin: 0 auto;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset .story-highlights .hlt,.asset .blog-tag-cloud .tag-cloud {\r\n list-style: none;\r\n margin: 0;\r\n padding: 0;\r\n left: 0\r\n}\r\n\r\n.asset .story-highlights .hlt-item {\r\n background: url(../../images/components/story_highlights_bullets.png) 0 17px no-repeat;\r\n border-bottom: 1px dotted #d4d4d4;\r\n color: #646464;\r\n font: 700 12px/16px arial,sans-serif;\r\n padding: 12px 0 12px 15px\r\n}\r\n\r\n.asset .story-highlights .hlt-item:last-child {\r\n border: 0\r\n}\r\n\r\n.asset .blog-tag-cloud {\r\n clear: both\r\n}\r\n\r\n.asset .blog-tag-cloud .tag {\r\n background: #e0dfdf;\r\n display: inline-block;\r\n float: left;\r\n font: 700 12px arial,sans-serif;\r\n margin: 3px 3px 0 0;\r\n padding: 3px 5px\r\n}\r\n\r\n.asset .blog-tag-cloud .tgc {\r\n color: #666\r\n}\r\n\r\n.asset blockquote {\r\n border-left: 2px solid #c9c9c9;\r\n color: #797979;\r\n font: 400 14px/22px arial,sans-serif;\r\n margin: 0 0 15px 60px;\r\n overflow: auto;\r\n padding-left: 20px\r\n}\r\n\r\n.asset .more-stories {\r\n color: #333;\r\n float: left;\r\n font: 400 16px 'Futura Today Bold',sans-serif;\r\n margin: 22px 0 0;\r\n text-transform: uppercase;\r\n width: 190px\r\n}\r\n\r\n.asset .more-stories-wrap {\r\n border-top: 1px solid #e6e6e6;\r\n clear: both;\r\n margin-left: 70px;\r\n overflow: hidden;\r\n width: 880px\r\n}\r\n\r\n.asset .more-stories-wrap.vertical {\r\n clear: none;\r\n width: 540px\r\n}\r\n\r\n.asset .more-stories-wrap #taboola-div-grid-2x4,.asset .more-stories-wrap #taboola-div-verticalx8 {\r\n float: left\r\n}\r\n\r\n#taboola-div-text-links-vertical {\r\n margin-bottom: 30px\r\n}\r\n\r\n.grid-2x4 .videoCube {\r\n padding-left: 0!important\r\n}\r\n\r\n.asset .more-stories-content {\r\n min-height: 486px\r\n}\r\n\r\n.asset .ribbon-module h3 {\r\n font: 400 16px 'Futura Today Bold',sans-serif;\r\n color: #1ea3ff;\r\n text-transform: uppercase;\r\n text-align: center\r\n}\r\n\r\n.asset .ribbon-module .accept_terms,.email-pane .accept_terms {\r\n display: none\r\n}\r\n\r\n.asset .ribbon-module .light-shade,.asset .story-poll .light-shade {\r\n border-right: 1px solid #e6e6e6;\r\n border-left: 1px solid #e6e6e6;\r\n border-bottom: 1px solid #e6e6e6;\r\n float: left;\r\n margin-top: -38px;\r\n padding: 40px 0 0;\r\n position: relative;\r\n text-align: center;\r\n width: 318px;\r\n z-index: 160\r\n}\r\n\r\n.asset .story-poll .light-shade {\r\n padding: 25px 0 0\r\n}\r\n\r\n.asset .ribbon-module .light-shade p {\r\n color: #999;\r\n font: 12px/16px arial,sans-serif;\r\n margin: 0 auto;\r\n text-align: center;\r\n width: 265px;\r\n padding-top: 0\r\n}\r\n\r\n.asset .ribbon-module .light-shade .full-bio {\r\n border: medium none;\r\n height: 20px;\r\n padding: 0\r\n}\r\n\r\n.asset .ribbon-module .light-shade .full-bio-inline {\r\n background: url(../../images/components/full-bio-arrow.png) no-repeat 0 6px;\r\n color: #333;\r\n display: inline-block;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 30px;\r\n margin-left: 10px;\r\n width: 95px\r\n}\r\n\r\n.asset .story-poll .light-shade .vote,.asset .poll-results-btn {\r\n color: #333;\r\n display: inline-block;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 30px;\r\n margin: 0 0 20px\r\n}\r\n\r\n.asset .story-poll .poll-vote-button-icon {\r\n background: url(../../images/sprites/forms-sprite.png) 3px -64px no-repeat;\r\n float: left;\r\n height: 14px;\r\n width: 19px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-email {\r\n color: #333;\r\n display: block;\r\n font-family: arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 700;\r\n margin: 10px 30px;\r\n padding-top: 10px;\r\n border-top: 1px dotted #cbcbcb;\r\n cursor: pointer\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-email:hover {\r\n color: #1ea3ff\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-email:before {\r\n content: \"\";\r\n display: inline-block;\r\n background: url(../../images/components/article-bio-email.png) no-repeat;\r\n width: 22px;\r\n height: 22px;\r\n margin-bottom: -6px;\r\n padding-right: 3px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .email-widget-wrapper {\r\n position: relative\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-email span {\r\n display: inline-block;\r\n margin-left: 17px;\r\n max-width: 260px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-twitter {\r\n border: 1px solid #d1d1d1;\r\n border-radius: 2px;\r\n display: block;\r\n font: 700 12px/30px arial,sans-serif\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-twitter {\r\n width: 165px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-twitter a,.asset .ribbon-module .light-shade .follow-email a {\r\n color: #333;\r\n display: block;\r\n padding: 0 11px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .follow-twitter a:hover,.asset .ribbon-module .light-shade .follow-email a:hover {\r\n color: #1ea3ff\r\n}\r\n\r\n.asset .ribbon-module .light-shade .full-bio a {\r\n background: url(../../images/components/full-bio-arrow.png) no-repeat 48px 4px;\r\n color: #333;\r\n display: inline-block;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 30px;\r\n padding-right: 28px;\r\n margin-right: 10px\r\n}\r\n\r\n.asset .ribbon-module h6,.asset .series-head>h6 {\r\n background: url(../../images/components/story-updates-bg.png) no-repeat;\r\n color: #fff;\r\n float: left;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 400;\r\n height: 60px;\r\n margin: 8px 0 0;\r\n padding-top: 7px;\r\n position: relative;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n text-transform: uppercase;\r\n width: 100%;\r\n z-index: 170\r\n}\r\n\r\n.asset .ribbon-module .author {\r\n margin: -20px 0 0 0;\r\n position: relative;\r\n z-index: 180\r\n}\r\n\r\n.asset .related-stories h6 {\r\n background: url(../../images/components/story-updates-bg.png) no-repeat;\r\n color: #fff;\r\n float: left;\r\n font: 12px 'Futura Today',sans-serif;\r\n height: 60px;\r\n margin: 25px 0 48px;\r\n padding-top: 7px;\r\n position: relative;\r\n text-align: center;\r\n text-transform: uppercase;\r\n width: 100%;\r\n z-index: 170\r\n}\r\n\r\n.asset .related-stories ul {\r\n border-bottom: 1px solid #e6e6e6;\r\n border-left: 1px solid #e6e6e6;\r\n border-right: 1px solid #e6e6e6;\r\n float: left;\r\n list-style: none;\r\n margin-top: -83px;\r\n padding: 30px 0 0 20px;\r\n position: relative;\r\n z-index: 160\r\n}\r\n\r\n.asset .related-stories ul li {\r\n background: url(../../images/components/related_story_icon.png) 16px 3px no-repeat;\r\n border-bottom: 1px dotted #e6e6e6;\r\n float: left\r\n}\r\n\r\n.asset .related-stories ul li,.asset .related-stories ul li a {\r\n color: #333;\r\n font: 700 13px/18px arial,sans-serif;\r\n margin: 10px 0 0 -19px;\r\n padding-left: 19px;\r\n padding: 0 4px 10px 19px;\r\n width: 296px\r\n}\r\n\r\n.asset .related-stories ul .last {\r\n border: 0\r\n}\r\n\r\n.asset .related-stories ul li a {\r\n border: 0\r\n}\r\n\r\n.asset .related-stories ul li .time_elapsed {\r\n float: left;\r\n margin: 10px 0 0 25px;\r\n width: 100%;\r\n font: italic 11px arial,sans-serif;\r\n color: #bbb;\r\n clear: both\r\n}\r\n\r\n.asset .related-stories ul li .story_name {\r\n float: left;\r\n margin-left: 25px\r\n}\r\n\r\n.asset h1 {\r\n color: #333;\r\n font: 700 32px/34px helvetica,arial,sans-serif;\r\n margin: 0;\r\n padding: 20px 0 0 70px\r\n}\r\n\r\n.asset .gallery-title {\r\n font-size: 30px;\r\n line-height: 36px;\r\n margin-top: 5px\r\n}\r\n\r\n.asset .video-desc {\r\n color: #999;\r\n font: 11px/18px Arial;\r\n padding-top: 10px;\r\n margin-bottom: 10px\r\n}\r\n\r\n.story-asset .video-desc {\r\n margin-left: 0\r\n}\r\n\r\n.inline-story-video {\r\n display: inline-block;\r\n margin: 0 0 10px 70px;\r\n position: relative;\r\n margin-top: 20px;\r\n height: 304px;\r\n margin-left: 60px;\r\n width: 540px\r\n}\r\n\r\n.inline-story-video .ui-video-play-btn {\r\n background: url(../../images/buttons/button_video_play.png) no-repeat;\r\n height: 93px;\r\n width: 93px;\r\n margin-left: -47px;\r\n margin-top: -47px;\r\n top: 50%;\r\n left: 50%;\r\n position: absolute\r\n}\r\n\r\n.inline-story-video .gallery-nav {\r\n top: 130px\r\n}\r\n\r\n.inline-story-video .gallery-nav-next {\r\n background-position: -23px 0;\r\n right: 0\r\n}\r\n\r\n.inline-story-video .gallery-nav-prev {\r\n background-position: 0 0;\r\n left: 0\r\n}\r\n\r\n.story-priority-video {\r\n margin: 20px 0 16px 70px\r\n}\r\n\r\n.story-priority-video .ui-video-play-btn {\r\n background: url(../../images/buttons/button_video_play.png) no-repeat;\r\n height: 93px;\r\n width: 93px;\r\n margin-left: -47px;\r\n margin-top: -47px;\r\n top: 50%;\r\n left: 50%\r\n}\r\n\r\n.story-priority-video-gallery {\r\n margin: 20px 0 30px 50px;\r\n height: 600px;\r\n width: 900px;\r\n padding: 10px\r\n}\r\n\r\n.story-priority-video-gallery .gallery-viewport.video-gallery-viewport {\r\n box-shadow: 0 2px 11px 2px rgba(0,0,0,.3);\r\n font-family: Arial,sans-serif;\r\n height: 590px;\r\n position: relative;\r\n width: 900px;\r\n overflow: hidden;\r\n padding: 0\r\n}\r\n\r\n.story-priority-video-gallery .video-gallery-slide.gallery-slide {\r\n height: 486px;\r\n padding: 10px;\r\n width: 880px\r\n}\r\n\r\n.story-priority-video-gallery .video-gallery-description {\r\n font-size: 11px;\r\n padding-top: 4px;\r\n width: 100%;\r\n height: 50px\r\n}\r\n\r\n.story-priority-video-gallery .video-gallery-counter {\r\n right: 0;\r\n top: 4px;\r\n color: #666;\r\n font-size: 13px;\r\n font-weight: 700;\r\n position: absolute\r\n}\r\n\r\n.story-priority-video-gallery .gallery-ellipsis {\r\n width: 770px\r\n}\r\n\r\n.story-priority-video-gallery .see-more-link {\r\n bottom: 0;\r\n color: #009bff;\r\n font-size: 12px;\r\n font-weight: 700;\r\n position: absolute;\r\n right: 0\r\n}\r\n\r\n.story-priority-video-gallery .video-gallery-nav {\r\n background: url(../../images/sprites/front-arrows.png) no-repeat;\r\n height: 58px;\r\n margin-top: -29px;\r\n width: 32px;\r\n top: 260px\r\n}\r\n\r\n.story-priority-video-gallery .video-gallery-nav.next {\r\n background-position: -30px 0\r\n}\r\n\r\n.story-priority-video-gallery .story-priority-video {\r\n margin: 0 0 10px\r\n}\r\n\r\n.story-priority-video-gallery .story-priority-video .ui-video-play-btn {\r\n background: url(../../images/buttons/button-video-play-small.png) no-repeat;\r\n height: 45px;\r\n width: 45px;\r\n left: auto;\r\n margin: auto;\r\n right: 10px;\r\n top: 82%;\r\n visibility: visible\r\n}\r\n\r\n.lt-ie9 .story-video.ui-video .brightcove-video-object>span {\r\n width: 100%\r\n}\r\n\r\n.asset .embed {\r\n max-width: 560px;\r\n padding: 20px 0 0 60px\r\n}\r\n\r\n.lead-in {\r\n font: italic 18px/27px arial,sans-serif;\r\n margin-top: -2px;\r\n margin-left: 60px\r\n}\r\n\r\n.asset .gallery-more h2 {\r\n color: #333;\r\n font: 400 16px/20px 'Futura Today bold',arial,sans-serif;\r\n margin: 0;\r\n padding: 10px 0 20px;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset .headline-asset-item {\r\n margin: 0 20px 16px 0\r\n}\r\n\r\n.asset .headline-asset-item.end-item {\r\n margin-right: 0\r\n}\r\n\r\n.asset .single-photo p,.asset .map p {\r\n color: #646464;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 6px 0 0;\r\n padding: 0 5px 0 0\r\n}\r\n\r\n.asset .single-photo .credit {\r\n font-style: italic;\r\n font-weight: 400\r\n}\r\n\r\n.single-photo.float {\r\n float: left;\r\n margin: 5px 20px 20px 60px;\r\n width: 180px\r\n}\r\n\r\n.single-photo.expandable-collapsed {\r\n position: relative;\r\n z-index: 100\r\n}\r\n\r\n.expand-img-horiz {\r\n min-height: 131px\r\n}\r\n\r\n.expand-img-vertical {\r\n min-height: 232px\r\n}\r\n\r\n.single-photo.expandable-collapsed .image-wrap {\r\n background: #fff;\r\n box-shadow: 1px 1px 5px #c8c8c8;\r\n padding: 3px;\r\n position: relative;\r\n cursor: pointer\r\n}\r\n\r\n.image-credit-wrap {\r\n padding: 0\r\n}\r\n\r\n.image-credit-wrap .cutline {\r\n display: none\r\n}\r\n\r\n.single-photo.expandable-collapsed .toggle {\r\n background: url(../../images/sprites/asset-sprite.png) no-repeat top left;\r\n bottom: 0;\r\n height: 21px;\r\n position: absolute;\r\n right: 0;\r\n width: 21px;\r\n z-index: 200\r\n}\r\n\r\n.single-photo.expandable-open {\r\n position: absolute;\r\n left: 0;\r\n clear: right;\r\n z-index: 100\r\n}\r\n\r\n.single-photo.expandable-open .image-wrap {\r\n background: #fff;\r\n box-shadow: 1px 1px 5px #c8c8c8;\r\n padding: 3px;\r\n position: relative;\r\n cursor: pointer\r\n}\r\n\r\n.single-photo.expandable-open .toggle {\r\n background: url(../../images/sprites/asset-sprite.png) no-repeat bottom left;\r\n bottom: 0;\r\n height: 21px;\r\n position: absolute;\r\n right: 0;\r\n width: 21px;\r\n z-index: 200\r\n}\r\n\r\n.single-photo.expandable-open .image-credit-wrap {\r\n background: #404040;\r\n background: rgba(0,0,0,.75);\r\n bottom: 3px;\r\n color: #fff;\r\n left: 3px;\r\n padding: 10px;\r\n position: absolute;\r\n width: 514px;\r\n z-index: 100\r\n}\r\n\r\n.single-photo.expandable-open .image-credit-wrap .cutline {\r\n display: block\r\n}\r\n\r\n.asset .tweet.pulled {\r\n border-bottom: 1px dotted #999;\r\n border-top: 1px dotted #999;\r\n float: left;\r\n height: 128px;\r\n margin: 20px 0 20px 220px;\r\n padding: 30px 0\r\n}\r\n\r\n.asset .map .mapboxWrap {\r\n height: 150px;\r\n width: 180px\r\n}\r\n\r\n.asset .map.wide .mapboxWrap {\r\n height: 350px;\r\n width: 540px\r\n}\r\n\r\n.asset .map .mapboxWrap,.asset .map.wide .mapboxWrap {\r\n overflow: hidden;\r\n position: relative;\r\n z-index: 0\r\n}\r\n\r\n.asset .map .mapboxWrap .standard-app-map,.asset .map.wide .mapboxWrap .standard-app-map {\r\n position: relative;\r\n width: 100%;\r\n height: 100%\r\n}\r\n\r\n.secondary-interactives {\r\n width: 920px;\r\n clear: both\r\n}\r\n\r\n.secondary-interactives .interactive-credits .source,.secondary-interactives .interactive-credits .credit {\r\n color: #999;\r\n font-family: Arial,Helvetica,sans-serif;\r\n font-size: 11px;\r\n margin-left: 8px;\r\n text-align: left\r\n}\r\n\r\n.secondary-interactives .interactive-credits .source {\r\n color: #999\r\n}\r\n\r\n.email-widget {\r\n display: none;\r\n background: #fff url(../../images/patterns/paper-noise.png) repeat top left;\r\n border-radius: 6px;\r\n position: absolute;\r\n width: 302px;\r\n height: 320px;\r\n top: 0;\r\n left: 9px;\r\n box-shadow: 0 2px 12px rgba(0,0,0,.4)\r\n}\r\n\r\n.email-widget:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat -31px -201px;\r\n content: '';\r\n display: block;\r\n height: 7px;\r\n left: 79px;\r\n position: absolute;\r\n width: 16px;\r\n top: -7px;\r\n transform: rotate(180deg);\r\n -ms-transform: rotate(180deg);\r\n -moz-transform: rotate(180deg);\r\n -webkit-transform: rotate(180deg);\r\n -o-transform: rotate(180deg)\r\n}\r\n\r\n.email-widget.open {\r\n display: block\r\n}\r\n\r\n.email-title {\r\n margin: 7px 0 10px 10px;\r\n text-align: left\r\n}\r\n\r\n.email-formbox {\r\n width: inherit;\r\n background-color: #fff;\r\n height: 190px;\r\n border-bottom: 1px solid #ccc;\r\n padding-top: 10px;\r\n margin-bottom: 17px;\r\n border-top: 1px solid #ccc\r\n}\r\n\r\n.email-widget input,.email-widget textarea {\r\n width: 272px;\r\n margin: 0;\r\n margin-bottom: 10px;\r\n padding: 8px;\r\n background: #f3f3f3;\r\n border: 1px solid #fff;\r\n border-top-color: #c4c4c4;\r\n border-radius: 3px;\r\n box-shadow: inset 0 0 1px rgba(0,0,0,.3);\r\n color: #999;\r\n display: block;\r\n font-size: 11px;\r\n outline: 0;\r\n margin-left: 5px\r\n}\r\n\r\n.email-widget .error {\r\n border: 1px solid red\r\n}\r\n\r\n.email-widget textarea {\r\n height: 65px\r\n}\r\n\r\n.email-widget .email-icon {\r\n display: inline-block;\r\n width: 25px;\r\n height: 25px;\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 3px -510px\r\n}\r\n\r\n.email-widget .ui-chunky-btn.cancel {\r\n margin-right: 14px\r\n}\r\n\r\n.email-widget .ui-chunky-btn.send {\r\n padding-right: 25px\r\n}\r\n\r\n.asset .ribbon-module .light-shade .email-widget-success-msg,.asset .story-poll .light-shade .email-widget-success-msg {\r\n width: auto\r\n}\r\n\r\n.lt-ie9 .leaflet-tile {\r\n filter: inherit\r\n}\r\n\r\n.lt-ie9 .leaflet-vml-shape {\r\n height: 1px;\r\n width: 1px\r\n}\r\n\r\n.lt-ie9 .lvml {\r\n behavior: url(#default#VML);\r\n display: inline-block;\r\n position: absolute\r\n}\r\n\r\n.lt-ie9 .leaflet-control {\r\n display: inline\r\n}\r\n\r\n.lt-ie9 .leaflet-popup-tip {\r\n margin: 0 auto;\r\n _margin-top: -3px;\r\n width: 21px;\r\n _width: 27px;\r\n filter: progid:DXImageTransform.Microsoft.Matrix(M11=.70710678, M12=.70710678, M21=-.70710678, M22=.70710678);-ms-filter:\"progid:DXImageTransform.Microsoft.Matrix(M11=.70710678, M12=.70710678, M21=-.70710678, M22=.70710678)\"\r\n}\r\n\r\n.lt-ie9 .leaflet-popup-tip-container {\r\n margin-top: -1px\r\n}\r\n\r\n.lt-ie9 .leaflet-popup-content-wrapper,.lt-ie9 .leaflet-popup-tip {\r\n border: 1px solid #bbb\r\n}\r\n\r\n.lt-ie9 .leaflet-control-zoom {\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorStr='#3F000000', EndColorStr='#3F000000')\r\n}\r\n\r\n.lt-ie9 .leaflet-control-zoom a {\r\n background-color: #eee\r\n}\r\n\r\n.lt-ie9 .leaflet-control-zoom a:hover {\r\n background-color: #fff\r\n}\r\n\r\n.lt-ie9 .leaflet-control-attribution,.lt-ie9 .leaflet-control-layers {\r\n background: #fff\r\n}\r\n\r\n.article-print-url {\r\n display: none;\r\n font: 14px/22px arial,sans-serif;\r\n margin: 20px 0 0 10px\r\n}\r\n\r\n.inline-story-video .transcript-scroll-fade-left,.inline-story-video .transcript-scroll-fade-right {\r\n display: none\r\n}\r\n\r\n.inline-story-video .video-search-display {\r\n padding: 0;\r\n float: left\r\n}\r\n\r\n.mycapture-image-btn {\r\n bottom: auto\r\n}\r\n\r\n.expandable-photo-caption-with-mycapture {\r\n float: left;\r\n width: 78%\r\n}\r\n\r\n.mycapture-expandable-photo-btn-small {\r\n bottom: 3px;\r\n right: auto;\r\n left: 3px\r\n}\r\n\r\n.mycapture-expandable-photo-btn-large {\r\n display: none;\r\n font-size: 11px;\r\n margin-right: auto;\r\n right: 25px;\r\n top: 35%;\r\n z-index: 101\r\n}\r\n\r\n.asset .shade {\r\n background-color: #f7f7f7\r\n}\r\n\r\n.asset .light-shade {\r\n background-color: #fff;\r\n background-color: rgba(255,255,255,.4)\r\n}\r\n\r\n.asset .shadow {\r\n box-shadow: inset 0 10px 10px 0 rgba(231,231,231,1)\r\n}\r\n\r\n.asset .border-top,.asset .border-bottom {\r\n border-color: #d4d4d4;\r\n border-style: none;\r\n border-width: 1px\r\n}\r\n\r\n.asset .border-bottom {\r\n border-bottom-style: solid\r\n}\r\n\r\n.asset .border-top {\r\n border-top-style: solid\r\n}\r\n\r\n#overlay {\r\n min-height: 100%;\r\n min-height: -webkit-calc(100% - 40px);min-height:calc(100% - 40px);overflow:hidden;width:100%;z-index:110\r\n}\r\n\r\n.asset {\r\n background: #fff url(../../images/patterns/paper-noise.png) repeat top left;\r\n margin: 0 auto;\r\n padding: 0 30px 0 0;\r\n position: relative;\r\n width: 950px;\r\n z-index: 115\r\n}\r\n\r\n.asset.fixed {\r\n left: 50%;\r\n margin-left: -490px;\r\n position: fixed;\r\n width: 100%\r\n}\r\n\r\n.transition-wrap.staged {\r\n position: fixed;\r\n top: 40px;\r\n width: 100%\r\n}\r\n\r\n.transition-wrap.staged.right {\r\n right: -100%\r\n}\r\n\r\n.transition-wrap.staged.left {\r\n left: -100%\r\n}\r\n\r\n.transition-wrap {\r\n margin: 0 auto;\r\n position: relative;\r\n width: 100%;\r\n z-index: 110\r\n}\r\n\r\n.partner-inbetween-content {\r\n height: 100%;\r\n margin: 0 auto;\r\n position: relative;\r\n overflow: hidden;\r\n width: 980px\r\n}\r\n\r\n.asset>.top {\r\n min-height: 58px\r\n}\r\n\r\n.asset>.full {\r\n margin: 0 -20px 20px;\r\n min-height: 33px;\r\n width: 960px\r\n}\r\n\r\n.asset-double-wide {\r\n float: left;\r\n margin-left: 10px;\r\n position: relative;\r\n width: 600px\r\n}\r\n\r\n.asset-double-wide a {\r\n color: #1990e5\r\n}\r\n\r\n.asset-double-wide .related a {\r\n color: #969696\r\n}\r\n\r\n.asset-double-wide .related a:hover {\r\n color: #f5f5f5\r\n}\r\n\r\n.asset-double-wide p {\r\n margin: 0 0 15px 60px;\r\n font: 14px/22px arial,sans-serif;\r\n color: #333\r\n}\r\n\r\n.asset-double-wide blockquote p {\r\n color: #797979\r\n}\r\n\r\n.asset-double-wide ul,.asset-double-wide ol {\r\n font: 14px/22px arial,sans-serif;\r\n color: #333;\r\n display: block;\r\n margin: 0 0 20px 60px;\r\n padding-left: 1.15em;\r\n position: relative;\r\n left: 10px;\r\n z-index: 0\r\n}\r\n\r\n.asset-double-wide p.lead-in {\r\n margin-left: 0;\r\n font: 18px/30px arial,sans-serif;\r\n color: #333\r\n}\r\n\r\n.asset .gallery-more {\r\n clear: left;\r\n min-height: 300px;\r\n margin-left: 70px;\r\n margin-bottom: 10px\r\n}\r\n\r\n.asset-double-wide img,.asset-double-wide object {\r\n max-width: 100%\r\n}\r\n\r\n.asset-double-wide aside.wide {\r\n margin-top: 25px;\r\n margin-left: 60px\r\n}\r\n\r\n.asset-double-wide aside.content-width {\r\n margin-left: 220px;\r\n width: 340px\r\n}\r\n\r\n.asset-double-wide .inline-story-video object {\r\n max-width: none\r\n}\r\n\r\n.asset>.top,.asset>.bottom,.asset>.full {\r\n clear: both\r\n}\r\n\r\n.asset>.top,.asset>.interactive,.asset-double-wide,.asset>.bottom,.asset-double-wide .comp,.asset-double-wide aside {\r\n margin-bottom: 20px\r\n}\r\n\r\n.asset-double-wide .comp {\r\n margin: 5px 20px 20px 60px\r\n}\r\n\r\n.asset-double-wide .article-metadata-wrap .comp {\r\n margin: 0 0 20px\r\n}\r\n\r\n.asset .last-updated {\r\n font-weight: 700\r\n}\r\n\r\n.overlay-arrows {\r\n width: 1068px;\r\n margin: 0 auto;\r\n opacity: 0;\r\n z-index: 120\r\n}\r\n\r\n.no-touch .set-overlay-size-arrow-container:hover {\r\n z-index: 116\r\n}\r\n\r\n.overlay-content-arrows {\r\n position: fixed;\r\n top: 45%;\r\n z-index: 120\r\n}\r\n\r\n.high-impact-ad-visible .overlay-arrows {\r\n display: none\r\n}\r\n\r\n.overlay-content-arrows-next-wrap {\r\n margin-left: 1023px\r\n}\r\n\r\n.touch .overlay-content-arrows-next-wrap {\r\n margin-left: 1024px\r\n}\r\n\r\n.touch .overlay-content-arrows-previous-wrap {\r\n margin-left: -1px\r\n}\r\n\r\n.overlay-arrow-next,.overlay-arrow-previous {\r\n color: #fff;\r\n display: block;\r\n font-size: 12px;\r\n height: 80px;\r\n width: 45px\r\n}\r\n\r\n.overlay-arrows:hover {\r\n z-index: 120\r\n}\r\n\r\n.overlay-arrow-previous {\r\n background: #f2f2f2;\r\n background: -moz-linear-gradient(left,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -webkit-gradient(linear,left top,right top,color-stop(0%,rgba(255,255,255,1)),color-stop(97%,rgba(255,255,255,.71)),color-stop(99%,rgba(237,237,237,.2)),color-stop(100%,rgba(35,26,22,.19)));\r\n background: -webkit-linear-gradient(left,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -o-linear-gradient(left,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -ms-linear-gradient(left,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: linear-gradient(to right,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n display: block\r\n}\r\n\r\n.overlay-arrow-next {\r\n background: #f2f2f2;\r\n background: -moz-linear-gradient(right,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -webkit-gradient(linear,right top,left top,color-stop(0%,rgba(255,255,255,1)),color-stop(97%,rgba(255,255,255,.71)),color-stop(99%,rgba(237,237,237,.2)),color-stop(100%,rgba(35,26,22,.19)));\r\n background: -webkit-linear-gradient(right,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -o-linear-gradient(right,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: -ms-linear-gradient(right,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n background: linear-gradient(to left,rgba(255,255,255,1) 85%,rgba(255,255,255,.71) 97%,rgba(237,237,237,.2) 99%,rgba(35,26,22,.19) 100%);\r\n display: block\r\n}\r\n\r\n@media (max-width: 1069px) {\r\n .overlay-arrow-previous,.overlay-arrow-next {\r\n display:none\r\n }\r\n}\r\n\r\n.overlay-arrows-previous-anchor-image,.overlay-arrows-next-anchor-image {\r\n background: transparent url(../../images/global/pagin-assetview-arrows.png) no-repeat;\r\n height: 80px;\r\n position: absolute;\r\n width: 45px;\r\n z-index: 1\r\n}\r\n\r\n.overlay-arrows-anchor:hover>.overlay-arrows-previous-anchor-image {\r\n background-position: -2px -4px\r\n}\r\n\r\n.overlay-arrows-anchor:hover>.overlay-arrows-next-anchor-image {\r\n background-position: 6px -93px\r\n}\r\n\r\n.overlay-arrows-previous-anchor-image {\r\n background-position: -47px -4px\r\n}\r\n\r\n.overlay-arrows-next-anchor-image {\r\n background-position: -45px -93px\r\n}\r\n\r\n.previous-story-content-holder,.next-story-content-holder {\r\n background-color: #181818;\r\n background-color: rgba(24,24,24,.9);\r\n display: inline-block;\r\n height: 71px;\r\n margin-top: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n vertical-align: middle;\r\n white-space: nowrap\r\n}\r\n\r\n.previous-story-content-holder {\r\n background-position: -3px -3px;\r\n border: 1px solid #252525;\r\n box-shadow: 1px 1px 3px #101010;\r\n display: none;\r\n padding: 7px 20px 0 35px;\r\n width: 300px\r\n}\r\n\r\n.next-story-content-holder {\r\n border: 1px solid #252525;\r\n box-shadow: -1px 1px 3px #101010;\r\n display: none;\r\n padding: 7px 35px 0 20px;\r\n right: 0;\r\n text-align: right;\r\n width: 300px\r\n}\r\n\r\n.overlay-arrows-previous-preview-content,.overlay-arrows-next-preview-content {\r\n height: 70px;\r\n vertical-align: middle;\r\n white-space: nowrap;\r\n width: 300px\r\n}\r\n\r\n.next-previous-label {\r\n color: #999;\r\n font-size: 10px;\r\n margin-bottom: 5px;\r\n text-transform: uppercase\r\n}\r\n\r\n.next-story-content-holder .next-previous-label,.next-story-content-holder .overlay-arrows-preview-title {\r\n margin-right: 10px\r\n}\r\n\r\n.previous-story-content-holder .next-previous-label,.previous-story-content-holder .overlay-arrows-preview-title {\r\n margin-left: 10px\r\n}\r\n\r\n.overlay-arrows-preview-title {\r\n font-weight: 700;\r\n color: #fff;\r\n font-size: 14px;\r\n line-height: 16px;\r\n white-space: normal\r\n}\r\n\r\n.arrow-story-previous-img,.arrow-story-next-img {\r\n border: 2px solid #353535;\r\n border-radius: 1px;\r\n display: inline-block;\r\n height: 60px;\r\n margin: 0 10px 10px;\r\n vertical-align: middle;\r\n width: 60px\r\n}\r\n\r\n.arrow-story-previous-img {\r\n float: left\r\n}\r\n\r\n.arrow-story-next-img {\r\n float: right\r\n}\r\n\r\n.next-story-content-arrow {\r\n background: url(../../images/global/pagin-assetview-arrows.png) no-repeat -4px -94px;\r\n height: 90px;\r\n position: absolute;\r\n right: 6px;\r\n width: 28px\r\n}\r\n\r\n.previous-story-content-arrow {\r\n background: url(../../images/global/pagin-assetview-arrows.png) no-repeat -9px -3px;\r\n height: 90px;\r\n float: left;\r\n margin-left: -3px;\r\n width: 28px\r\n}\r\n\r\n.asset-double-wide table {\r\n border: 0;\r\n border-collapse: collapse;\r\n font: 400 13px/1.5 arial,Helvetica,sans-serif;\r\n margin: 10px 0 0;\r\n width: 100%\r\n}\r\n\r\n.asset-double-wide tr:first-child {\r\n background: #fff;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset-double-wide tr:first-child {\r\n background: 0;\r\n border-bottom: 5px solid transparent\r\n}\r\n\r\n.asset-double-wide tr:nth-child(even) {\r\n background-color: #f2f2f2\r\n}\r\n\r\n.asset-double-wide tr:nth-child(2) {\r\n border-top: 1px solid #ccc\r\n}\r\n\r\n.asset-double-wide tr:last-child {\r\n border-bottom: 1px solid #ccc\r\n}\r\n\r\n.lt-ie9 .asset-double-wide tr {\r\n border-bottom: 1px solid #ececec\r\n}\r\n\r\n.asset-double-wide tr:first-child td {\r\n color: #343434;\r\n padding: 2px 10px 0;\r\n font-weight: 700\r\n}\r\n\r\n.lt-ie9 .asset-double-wide tr:first-child td {\r\n padding: 2px 10px 8px\r\n}\r\n\r\n.asset-double-wide td {\r\n color: #343434;\r\n font-weight: 400;\r\n padding: 10px;\r\n vertical-align: middle\r\n}\r\n\r\n.asset-double-wide tr:nth-child(2) td {\r\n background: url(../../images/patterns/td-top-bdr.jpg) repeat-x;\r\n padding: 18px 10px 10px\r\n}\r\n\r\n.asset-double-wide .pull-quote-attribution {\r\n margin-top: 15px\r\n}\r\n\r\n.recommended-flyout-container {\r\n bottom: 30px;\r\n position: fixed;\r\n right: 0;\r\n z-index: 180\r\n}\r\n\r\n.recommended-flyout-wrap {\r\n bottom: 0;\r\n box-shadow: 0 2px 5px 0 rgba(0,0,0,.3);\r\n height: 90px;\r\n overflow: hidden;\r\n position: absolute;\r\n right: 0;\r\n width: 0;\r\n z-index: 175\r\n}\r\n\r\n.recommended-flyout {\r\n background: #09f;\r\n border-top: 1px solid #66c2ff;\r\n height: 60px;\r\n padding: 15px;\r\n width: 330px\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-link {\r\n text-decoration: none\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-content-image,.recommended-flyout .recommended-flyout-content-headline,.recommended-flyout .recommended-flyout-heading,.recommended-flyout .recommended-flyout-close {\r\n display: block\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-content-image {\r\n box-shadow: 0 2px 3px 0 rgba(0,0,0,.3);\r\n float: left;\r\n margin-right: 10px;\r\n height: 60px\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-heading {\r\n color: #00497a;\r\n font-family: 'Futura Today Bold',sans-serif;\r\n font-size: 12px;\r\n line-height: 12px;\r\n margin: 0;\r\n padding: 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-content-headline {\r\n color: #fff;\r\n font-family: Helvetica,sans-serif;\r\n font-size: 15px;\r\n height: 45px;\r\n margin: 7px 0 0;\r\n overflow: hidden;\r\n text-shadow: 0 1px 0 #007acc\r\n}\r\n\r\n.recommended-flyout .recommended-flyout-close {\r\n color: #fff;\r\n cursor: pointer;\r\n font-size: 20px;\r\n position: absolute;\r\n left: 335px;\r\n text-shadow: 0 1px 0 #007acc;\r\n top: 7px;\r\n z-index: 180\r\n}\r\n\r\n.recommended-flyout.closed:before {\r\n color: #fff;\r\n content: '+';\r\n font-weight: 700;\r\n font-size: 28px;\r\n right: 4px;\r\n position: absolute;\r\n text-shadow: 0 1px 0 #007acc;\r\n top: 0\r\n}\r\n\r\n.recommended-flyout.closed .recommended-flyout-content-image,.recommended-flyout.closed .recommended-flyout-content-headline,.recommended-flyout.closed .recommended-flyout-heading,.recommended-flyout.closed .recommended-flyout-close {\r\n display: none\r\n}\r\n\r\n.story-navbar {\r\n background: #d8d8d8;\r\n background: rgba(0,0,0,.2);\r\n border-bottom: 2px solid #f2f2f2;\r\n border-bottom: 2px solid rgba(0,0,0,.05);\r\n box-shadow: inset 0 1px 2px rgba(0,0,0,.2);\r\n height: 37px;\r\n margin: 0 0 0 40px;\r\n padding: 0;\r\n width: 940px\r\n}\r\n\r\n.story-navbar-item {\r\n display: inline;\r\n padding: 0\r\n}\r\n\r\na.story-navbar-link {\r\n color: #666;\r\n float: left;\r\n font-size: 13px;\r\n font-weight: 700;\r\n padding: 10px 16px;\r\n text-shadow: 0 1px 1px #fff\r\n}\r\n\r\n.story-navbar-link:hover,.story-navbar-link.story-navbar-link-active {\r\n background: #e6e6e6;\r\n background: rgba(0,0,0,.1);\r\n color: #333\r\n}\r\n\r\n.asset .content-bar {\r\n background: transparent url(../../images/patterns/paper-noise-bar.png) repeat top left;\r\n color: #999;\r\n margin-bottom: 0;\r\n margin-left: 40px;\r\n min-height: 49px;\r\n overflow: hidden;\r\n padding: 10px 0 0;\r\n position: relative;\r\n width: 940px\r\n}\r\n\r\n.asset .content-bar-cannes-lions {\r\n padding-top: 0\r\n}\r\n\r\n.asset .content-bar.content-bar-bottom {\r\n margin-bottom: 0;\r\n padding-top: 0\r\n}\r\n\r\n.asset .content-bar .head {\r\n display: table;\r\n margin: 0 30px 10px\r\n}\r\n\r\n.asset .content-bar.content-bar-bottom .head {\r\n margin: 10px 30px\r\n}\r\n\r\n.asset .content-bar-block {\r\n color: #666;\r\n display: table-cell;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 700;\r\n padding: 7px 10px;\r\n text-align: center;\r\n vertical-align: middle;\r\n max-width: 200px\r\n}\r\n\r\n.asset .content-bar .head>.sponsor {\r\n max-width: none\r\n}\r\n\r\n.asset .content-bar-block-link {\r\n color: #666\r\n}\r\n\r\n.asset .content-bar-block.title {\r\n box-sizing: border-box;\r\n border-right: 1px solid #dedede;\r\n padding: 0 30px 0 0;\r\n text-align: right;\r\n min-width: 200px;\r\n display: table-cell;\r\n width: auto\r\n}\r\n\r\n.asset .content-bar .head .sponsor {\r\n color: #999;\r\n display: table-cell;\r\n font-size: 11px;\r\n font-weight: 400;\r\n line-height: normal;\r\n padding: 0;\r\n text-align: left;\r\n vertical-align: middle\r\n}\r\n\r\n.sponsor-logo-box {\r\n display: table\r\n}\r\n\r\n.sponsor-logo-box>.sponsor-logo-img,.sponsor-logo-box>.sponsor-logo-byline {\r\n display: table-cell;\r\n margin: 0;\r\n vertical-align: middle;\r\n white-space: nowrap\r\n}\r\n\r\n.sponsor-logo-box>.sponsor-logo-byline {\r\n padding: 5px 0 0\r\n}\r\n\r\n.sponsor-logo-box>.sponsor-logo-img {\r\n padding: 0 5px 0 0\r\n}\r\n\r\n.asset .content-bar-heading {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400;\r\n line-height: normal;\r\n margin: 0\r\n}\r\n\r\n.asset .title .content-bar-em {\r\n color: #333;\r\n display: block;\r\n font: 13px/13px 'Futura Today Bold',Arial,sans-serif;\r\n font-weight: 400;\r\n margin-top: 2px;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset .content-bar-block-link:hover {\r\n color: #007ccf\r\n}\r\n\r\n.asset .content-bar .border {\r\n background: transparent url(../../images/components/sponsored-story-bottom-border.png) repeat-x bottom left;\r\n bottom: 0;\r\n height: 6px;\r\n left: 0;\r\n position: absolute;\r\n width: 940px\r\n}\r\n\r\n.asset .content-bar.content-bar-bottom .border {\r\n background-image: url(../../images/components/sponsored-story-top-border.png);\r\n background-position: top left;\r\n bottom: auto;\r\n top: 0\r\n}\r\n\r\n.asset .series-nav,.asset .series-partner {\r\n display: none\r\n}\r\n\r\n.asset .sponsored .series-nav,.asset .sponsored .series-partner {\r\n display: block\r\n}\r\n\r\n.asset .series-head-wrap {\r\n background: #00a53c url(../../images/patterns/noise.png) repeat top left\r\n}\r\n\r\n.asset .sponsored .series-head {\r\n padding: 20px\r\n}\r\n\r\n.asset .series-head a {\r\n color: #fff\r\n}\r\n\r\n.asset .series-head>h6 {\r\n margin-bottom: -40px\r\n}\r\n\r\n.asset .sponsored .series-head>h6 {\r\n background: 0;\r\n float: none;\r\n font: 22px 'Futura Today Bold',helvetica,sans-serif;\r\n height: auto;\r\n margin: 0;\r\n padding: 0;\r\n text-align: left;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset .series-head ul {\r\n margin: 10px 0 0;\r\n overflow: hidden\r\n}\r\n\r\n.asset .series-head li {\r\n border-left: 1px solid #ebebeb;\r\n border-left: 1px solid rgba(235,235,235,.4);\r\n border-right: 1px solid #333;\r\n border-right: 1px solid rgba(51,51,51,.2);\r\n float: left;\r\n padding: 0 15px\r\n}\r\n\r\n.asset .series-head li:first-child {\r\n border-left: 0;\r\n padding-left: 0\r\n}\r\n\r\n.asset .series-head li:last-child {\r\n border-right: 0;\r\n padding-right: 0\r\n}\r\n\r\n.asset .series-head li a {\r\n background: url(../../images/buttons/sprite-hero.png) no-repeat top left;\r\n font-size: 14px;\r\n font-weight: 700;\r\n padding: 1px 0 0 22px\r\n}\r\n\r\n.asset .series-partner hr {\r\n border-bottom: 1px solid #ebebeb;\r\n border-bottom: 1px solid rgba(235,235,235,.4);\r\n border-top: 1px solid #333;\r\n border-top: 1px solid rgba(51,51,51,.2);\r\n height: 0;\r\n margin: 0\r\n}\r\n\r\n.asset .series-partner p {\r\n color: #fff;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 40px;\r\n padding: 0 20px\r\n}\r\n\r\n.series-promo-list {\r\n text-align: left\r\n}\r\n\r\n.series-promo-item {\r\n border: 0;\r\n border-top: 1px dashed #e6e6e6;\r\n list-style: none;\r\n min-height: 80px;\r\n padding: 20px\r\n}\r\n\r\n.series-promo-play-btn.play-btn-m {\r\n position: absolute;\r\n right: 20px;\r\n bottom: 0\r\n}\r\n\r\n.series-promo-item:first-child {\r\n border-top: 0;\r\n padding-top: 10\r\n}\r\n\r\n.series-promo-headline {\r\n margin: 0\r\n}\r\n\r\na.series-promo-headline-link {\r\n color: #333\r\n}\r\n\r\n.series-promo-meta {\r\n color: #989898;\r\n font-weight: 700;\r\n font-size: 11px;\r\n margin-top: 5px;\r\n text-align: left\r\n}\r\n\r\n.series-promo-meta>a {\r\n font-weight: 700\r\n}\r\n\r\n.series-promo-thumb-link {\r\n float: left;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.story-right-rail {\r\n float: right;\r\n margin-bottom: 30px;\r\n width: 320px\r\n}\r\n\r\n.story-right-rail>div.ribbon-module,.story-right-rail>div.story-poll {\r\n display: block;\r\n width: 320px;\r\n min-height: 100px;\r\n padding: 0;\r\n margin: 0\r\n}\r\n\r\n.story-right-rail>.related-stories {\r\n display: block;\r\n min-height: 100px;\r\n padding: 0;\r\n width: 322px\r\n}\r\n\r\n.story-ribbon {\r\n margin-bottom: 30px;\r\n padding-top: 30px;\r\n position: relative\r\n}\r\n\r\n.story-ribbon .ui-light.ui-opaque.ui-btn {\r\n margin: 0 20px 20px\r\n}\r\n\r\n.story-ribbon-title {\r\n background: url(../../images/components/story-updates-bg.png) no-repeat;\r\n color: #fff;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 400;\r\n height: 60px;\r\n margin: 0;\r\n padding-top: 7px;\r\n position: absolute;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n text-transform: uppercase;\r\n top: 0;\r\n width: 100%;\r\n z-index: 10\r\n}\r\n\r\n.story-ribbon-title>a {\r\n color: #fff\r\n}\r\n\r\n.story-ribbon-content {\r\n border-right: 1px solid #e6e6e6;\r\n border-left: 1px solid #e6e6e6;\r\n border-bottom: 1px solid #e6e6e6;\r\n padding-top: 40px;\r\n position: relative;\r\n text-align: center;\r\n width: 318px;\r\n z-index: 0\r\n}\r\n\r\n.story-ribbon-content p {\r\n color: #999;\r\n font: 12px/16px arial,sans-serif;\r\n margin: 0 auto;\r\n text-align: center;\r\n width: 265px;\r\n padding-top: 0\r\n}\r\n\r\n.story-ribbon-content .full-bio {\r\n border: medium none;\r\n height: 20px;\r\n padding: 0\r\n}\r\n\r\n.story-ribbon-content .full-bio-inline {\r\n background: url(../../images/components/full-bio-arrow.png) no-repeat 0 6px;\r\n color: #333;\r\n display: inline-block;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 30px;\r\n margin-left: 10px;\r\n width: 95px\r\n}\r\n\r\n.media-view {\r\n background: #2C2C2C url(../../images/modules/search/sidebar-bg.png) 0 0 repeat;\r\n color: #fff;\r\n height: 100%;\r\n height: -moz-calc(100% - 40px);height:-webkit-calc(100% - 40px);height:calc(100% - 40px);\r\n min-width:1024px;position:absolute;width:100%}\r\n\r\n.media-view-video {\r\n height: 900px\r\n}\r\n\r\n.media-view-viewport {\r\n background: #333 url(../../images/patterns/dark-noise.png) 0 0 repeat;\r\n display: table;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.media-view.ui-loading {\r\n background-color: #323232\r\n}\r\n\r\n.media-view.cinematic-view {\r\n background: #222 url(../../images/patterns/darker-noise.png) 0 0 repeat\r\n}\r\n\r\n.media-view .hidden {\r\n display: none\r\n}\r\n\r\n.media-sidebar {\r\n background: #1e1e1e;\r\n display: table-cell;\r\n width: 120px;\r\n z-index: 10\r\n}\r\n\r\n.media-sidebar-list {\r\n width: 120px\r\n}\r\n\r\n.media-sidenav-link:hover {\r\n color: #fff\r\n}\r\n\r\n.media-sidenav-item {\r\n border-bottom: 1px solid #090909;\r\n box-shadow: 0 1px 0 #2e2e2e;\r\n line-height: 1;\r\n position: relative\r\n}\r\n\r\n.media-sidenav-mostpopular:after,.media-sidenav-mostpopular>.media-sidenav-link:after {\r\n display: none\r\n}\r\n\r\n.media-sidenav-item.top.active {\r\n border-bottom: 0;\r\n box-shadow: none\r\n}\r\n\r\na.media-sidenav-link {\r\n color: #fff;\r\n display: block;\r\n font-family: 'Futura Today',Arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 700;\r\n padding: 14px 10px 14px 15px;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.8);\r\n width: 95px\r\n}\r\n\r\n.media-sidenav-item:after {\r\n background: transparent url(../../images/sprites/media-sprite.png) -80px -20px no-repeat;\r\n content: '';\r\n height: 20px;\r\n position: absolute;\r\n top: 9px;\r\n right: 9px;\r\n width: 20px\r\n}\r\n\r\n.media-sidenav-link:after {\r\n background: transparent url(../../images/sprites/media-sprite.png) -160px 0 no-repeat;\r\n content: '';\r\n height: 20px;\r\n position: absolute;\r\n top: 10px;\r\n right: 9px;\r\n width: 20px\r\n}\r\n\r\n.media-sidenav-item:hover {\r\n background: #171717;\r\n background: rgba(0,0,0,.2)\r\n}\r\n\r\n.media-sidenav-item.active>.media-sidenav-link {\r\n background: transparent url(../../images/sprites/media-sprite.png) 0 -60px no-repeat;\r\n width: 105px\r\n}\r\n\r\n.media-sidenav-item.sub.active>.media-sidenav-link:after {\r\n background-position: -120px 0\r\n}\r\n\r\n.media-sidenav-item.sub.active.collapsed .media-sidenav-link:after {\r\n background-position: -140px 0\r\n}\r\n\r\n.media-sidenav-item.sub-active>.media-sidenav-link {\r\n color: #1ea3ff;\r\n background: #171717;\r\n background: rgba(0,0,0,.2)\r\n}\r\n\r\n.media-sidenav-item.sub-active>.media-sidenav-link:after {\r\n background-position: -120px -20px\r\n}\r\n\r\n.media-sidenav-item.sub-active>.media-sidenav-sublist,.media-sidenav-item.active>.media-sidenav-sublist {\r\n display: block\r\n}\r\n\r\n.media-sidenav-sublist {\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.08);\r\n border-top: 1px solid #333;\r\n border-top: 1px solid rgba(0,0,0,.8);\r\n display: none;\r\n padding: 0\r\n}\r\n\r\n.media-sidenav-sublink.active,a.media-sidenav-sublink:hover {\r\n color: #fff\r\n}\r\n\r\na.media-sidenav-sublink {\r\n font: 700 11px/24px arial,sans-serif;\r\n color: #6b6b6b;\r\n text-transform: none;\r\n padding: 3px 0 3px 20px;\r\n display: block\r\n}\r\n\r\n.media-view .ad-container {\r\n float: left;\r\n width: 320px;\r\n margin: 20px 0 0 20px\r\n}\r\n\r\n.media-view .ad-container .ad {\r\n background: #191919;\r\n background: rgba(0,0,0,.2);\r\n border-radius: 3px;\r\n box-shadow: 0 1px 0 rgba(255,255,255,.1),inset 0 1px 2px rgba(0,0,0,.6);\r\n padding: 5px 10px 11px\r\n}\r\n\r\n.media-view .ad-container .ad img {\r\n box-shadow: 0 1px 2px rgba(0,0,0,1)\r\n}\r\n\r\n.media-view .ad-container .ad figcaption {\r\n font-size: 9px;\r\n font-weight: 700;\r\n text-transform: uppercase;\r\n color: #555;\r\n color: rgba(255,255,255,.2);\r\n text-align: center;\r\n margin: 2px 0 6px\r\n}\r\n\r\n.media-index-content {\r\n background-position: 0 0;\r\n background-repeat: repeat;\r\n border-left: 1px solid #464646;\r\n border-left: 1px solid rgba(255,255,255,.1);\r\n display: table-cell;\r\n -webkit-transition: top .25s ease;\r\n -moz-transition: top .25s ease;\r\n -o-transition: top .25s ease;\r\n -ms-transition: top .25s ease;\r\n transition: top .25s ease\r\n}\r\n\r\n.media-filter-wrapper {\r\n border-top: 1px solid #101010;\r\n box-shadow: 0 1px 0 rgba(255,255,255,.08),inset 0 1px 0 rgba(255,255,255,.08);\r\n border-bottom: 1px solid #131313;\r\n padding: 12px 20px 14px 40px;\r\n height: 30px\r\n}\r\n\r\n.media-view-filter-button-toggle {\r\n display: inline-block\r\n}\r\n\r\n.media-view-filter-videos-btn:before,.media-view-filter-interactives-btn:before,.media-view-filter-photos-btn:before {\r\n background: transparent url(../../images/sprites/media-sprite.png) -240px 0 no-repeat;\r\n content: '';\r\n height: 20px;\r\n top: 5px;\r\n width: 20px;\r\n display: inline-block;\r\n position: relative;\r\n margin-right: 5px;\r\n overflow: hidden\r\n}\r\n\r\n.media-view-filter-videos-btn:before {\r\n background-position: -247px 0;\r\n width: 10px\r\n}\r\n\r\n.media-view-filter-photos-btn:before {\r\n background-position: -282px 0\r\n}\r\n\r\n.media-view-filter-interactives-btn {\r\n padding-left: 34px\r\n}\r\n\r\n.media-view-filter-interactives-btn:before {\r\n background-position: -203px 0;\r\n left: 10px\r\n}\r\n\r\n.media-filter-wrapper .dropdown {\r\n display: inline-block;\r\n height: 32px;\r\n vertical-align: top\r\n}\r\n\r\n.media-filter-wrapper .sort-label {\r\n color: #999;\r\n font-size: 12px;\r\n font-weight: 700;\r\n margin: 0 10px 0 20px;\r\n display: inline-block\r\n}\r\n\r\n.media-view-sort-by-dropdown {\r\n display: inline-block;\r\n width: 132px;\r\n outline: 0\r\n}\r\n\r\n.media-view-sort-by-dropdown-item-wrap {\r\n top: 0\r\n}\r\n\r\n.ui-dropdown .media-view-sort-by-dropdown-item-wrap .media-view-sort-by-dropdown-item {\r\n padding: 0\r\n}\r\n\r\n.media-view-sort-by-dropdown-item .ui-dropdown-item-link {\r\n display: block;\r\n line-height: normal;\r\n padding: 8px 10px\r\n}\r\n\r\n.media-content {\r\n margin: 1px 15px 0;\r\n width: 747px\r\n}\r\n\r\n.media-grid-section-h1 {\r\n font-size: 35px;\r\n margin: 10px 0\r\n}\r\n\r\n.media-content-empty {\r\n display: block;\r\n margin: 20px 0\r\n}\r\n\r\n.media-grid-ul {\r\n list-style: none;\r\n margin: 4px 0 0;\r\n padding: 0\r\n}\r\n\r\n.medialistitems {\r\n float: left;\r\n height: 175px;\r\n margin-right: 10px;\r\n width: 170px\r\n}\r\n\r\n.media-list-link {\r\n display: block\r\n}\r\n\r\n.media-list-link:hover .thumbnail {\r\n background: #8d8d8d\r\n}\r\n\r\n.media-list-link:hover .grid-fig-capt {\r\n color: #fff\r\n}\r\n\r\n.mediaview-featured {\r\n float: left;\r\n height: 280px;\r\n width: 350px\r\n}\r\n\r\n.mediaview-featured .grid-figure .thumbnail {\r\n width: 340px;\r\n height: 190px\r\n}\r\n\r\n.media-gallery-bg {\r\n background: #646464;\r\n border: 1px solid #000;\r\n box-shadow: 0 4px 8px rgba(0,0,0,.4);\r\n display: block;\r\n position: absolute;\r\n width: 160px;\r\n height: 90px;\r\n margin-bottom: 5px;\r\n padding: 4px 5px 5px\r\n}\r\n\r\n.mediaview-featured .media-gallery-bg {\r\n width: 340px;\r\n height: 190px\r\n}\r\n\r\n.media-gallery-bg.one {\r\n left: 4px;\r\n top: 4px\r\n}\r\n\r\n.media-gallery-bg.two {\r\n left: 2px;\r\n top: 2px\r\n}\r\n\r\n.mediaview-featured .meta {\r\n opacity: 1;\r\n visibility: visible;\r\n -webkit-transform: rotateX(0deg);\r\n -moz-transform: rotateX(0deg);\r\n -o-transform: rotateX(0deg);\r\n -ms-transform: rotateX(0deg);\r\n transform: rotateX(0deg)\r\n}\r\n\r\n.media-view .featured .grid-fig-capt {\r\n font-size: 14px\r\n}\r\n\r\n.media-grid-ul .medialistitems .grid-figure {\r\n position: relative\r\n}\r\n\r\n.media-grid-ul .medialistitems .grid-figure.gallery {\r\n background: 0;\r\n margin: 0;\r\n width: auto;\r\n position: relative;\r\n z-index: 19;\r\n top: 0;\r\n box-shadow: none;\r\n padding: 0\r\n}\r\n\r\n.media-grid-ul .medialistitems .thumb-img {\r\n height: 90px;\r\n overflow: hidden;\r\n width: 160px\r\n}\r\n\r\n.media-grid-ul .mediaview-featured .thumb-img {\r\n height: 190px;\r\n width: 340px\r\n}\r\n\r\n.medialistitems .thumbnail {\r\n background: #646464;\r\n border-top: 1px solid #939393;\r\n box-shadow: 0 4px 8px rgba(0,0,0,.4);\r\n display: block;\r\n margin-bottom: 5px;\r\n padding: 4px 5px 5px;\r\n position: relative;\r\n width: 160px;\r\n height: 90px;\r\n -moz-transition: background .25s linear;\r\n -ms-transition: background .25s linear;\r\n -o-transition: background .25s linear;\r\n -webkit-transition: background .25s linear;\r\n transition: background .25s linear\r\n}\r\n\r\n.medialistitems .gallery>.thumbnail {\r\n border: 1px solid #000;\r\n border-top: 1px inset #000\r\n}\r\n\r\n.media-figure-meta {\r\n opacity: 0;\r\n visibility: hidden;\r\n position: absolute;\r\n top: 5px;\r\n left: 2px;\r\n font-style: normal;\r\n margin: 0;\r\n -webkit-transform: rotateX(-80deg);\r\n -moz-transform: rotateX(-80deg);\r\n -o-transform: rotateX(-80deg);\r\n -ms-transform: rotateX(-80deg);\r\n transform: rotateX(-80deg);\r\n -webkit-transition: all .25s linear;\r\n -moz-transition: all .25s linear;\r\n -o-transition: all .25s linear;\r\n -ms-transition: all .25s linear;\r\n transition: all .25s linear;\r\n -webkit-transform-origin: 0 0;\r\n -moz-transform-origin: 0 0;\r\n -o-transform-origin: 0 0;\r\n -ms-transform-origin: 0 0;\r\n transform-origin: 0 0\r\n}\r\n\r\n.lt-ie10 .media-figure-meta {\r\n top: -105px\r\n}\r\n\r\n.lt-ie10 .mediaview-featured .media-figure-meta {\r\n top: -205px\r\n}\r\n\r\n.media-grid-ul .medialistitems:hover .media-figure-meta,.mediaview-featured .media-figure-meta {\r\n opacity: 1;\r\n visibility: visible;\r\n -webkit-transform: rotateX(0deg);\r\n -moz-transform: rotateX(0deg);\r\n -o-transform: rotateX(0deg);\r\n -ms-transform: rotateX(0deg);\r\n transform: rotateX(0deg)\r\n}\r\n\r\n.grid-figure>.media-figure-meta>.parent-label {\r\n display: block;\r\n float: left;\r\n left: 3px;\r\n position: relative;\r\n top: 0\r\n}\r\n\r\n.grid-figure>.media-figure-meta>.duration {\r\n background: #292929;\r\n background: rgba(0,0,0,.7);\r\n color: #fff;\r\n display: block;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 1;\r\n padding: 4px 6px 5px;\r\n position: relative\r\n}\r\n\r\n.play-btn-xl {\r\n background: url(../../images/buttons/button_video_play_small.png) 0 0 no-repeat;\r\n bottom: 10px;\r\n height: 45px;\r\n margin: -25px 0 0 -23px;\r\n position: absolute;\r\n right: 10px;\r\n width: 45px\r\n}\r\n\r\n.media-view .grid-fig-capt {\r\n color: #999;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 1.4;\r\n margin: 10px 5px 0;\r\n -moz-transition: color .25s linear;\r\n -ms-transition: color .25s linear;\r\n -o-transition: color .25s linear;\r\n -webkit-transition: color .25s linear;\r\n transition: color .25s linear\r\n}\r\n\r\n.media-grid-list-meta {\r\n color: #666;\r\n font-size: 11px;\r\n font-style: italic;\r\n list-style: none;\r\n margin: 0 2px;\r\n padding: 0\r\n}\r\n\r\n.media-grid-list-meta .media-list-link,.media-grid-list-meta .media-list-link:visited {\r\n color: #666\r\n}\r\n\r\n.media-grid-list-meta-li {\r\n overflow: hidden;\r\n text-overflow: ellipsis;\r\n white-space: nowrap;\r\n width: 6em\r\n}\r\n\r\n.media-grid-list-meta-li.date {\r\n padding-left: 18px;\r\n line-height: 20px\r\n}\r\n\r\n.mediaview-featured .media-grid-list-meta-li {\r\n width: auto\r\n}\r\n\r\n.media-grid-list-meta-li.author {\r\n padding-left: 20px;\r\n display: none\r\n}\r\n\r\n.mediaview-featured .media-grid-list-meta-li.author {\r\n padding-left: 20px;\r\n display: inline-block\r\n}\r\n\r\n.media-grid-list-meta-li.author:before {\r\n background: transparent url(../../images/sprites/media-sprite.png) -320px 0 no-repeat;\r\n content: '';\r\n height: 20px;\r\n left: 2px;\r\n top: -5px;\r\n position: absolute;\r\n width: 20px\r\n}\r\n\r\n.media-view .cinematic-side-nav {\r\n background-color: #3d3d3d;\r\n height: 40px;\r\n left: 0;\r\n position: relative;\r\n top: 0;\r\n width: 100%;\r\n z-index: 10\r\n}\r\n\r\n.media-view .cinematic-side-nav .back-to-media {\r\n background: transparent url(../../images/sprites/gal-nav.png) 6px -144px no-repeat;\r\n display: block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n color: #fff;\r\n height: 25px;\r\n line-height: 25px;\r\n padding: 8px 0 7px 6px;\r\n min-width: 30px;\r\n text-indent: 36px;\r\n overflow: hidden;\r\n text-overflow: ellipsis;\r\n white-space: nowrap;\r\n background-clip: content-box;\r\n margin: 0\r\n}\r\n\r\n.lt-ie9 .media-view .cinematic-side-nav .back-to-media {\r\n background-position: 6px -152px;\r\n line-height: 5px;\r\n vertical-align: middle;\r\n height: 20px;\r\n margin-top: 10px\r\n}\r\n\r\n.cinematic-side-nav-ul {\r\n display: table;\r\n width: 100%;\r\n empty-cells: show\r\n}\r\n\r\n.cinematic-side-nav-ul .parent-label {\r\n position: relative;\r\n left: 0;\r\n top: -2px\r\n}\r\n\r\n.cinematic-side-nav-li {\r\n display: table-cell;\r\n vertical-align: middle\r\n}\r\n\r\n.lt-ie9 .cinematic-side-nav-li {\r\n display: table-cell;\r\n vertical-align: middle\r\n}\r\n\r\n.cinematic-side-nav-li.fixed {\r\n width: 30px\r\n}\r\n\r\n.media-main-view {\r\n background: #222 url(../../images/patterns/darker-noise.png) repeat 0 0;\r\n bottom: 0;\r\n position: absolute;\r\n top: 40px;\r\n width: 100%\r\n}\r\n\r\n.media-view .playlist-wrapper {\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.media-playlist.open {\r\n bottom: 0\r\n}\r\n\r\n.media-playlist.initial {\r\n bottom: -203px\r\n}\r\n\r\n.media-playlist {\r\n bottom: -163px;\r\n background: #242424 url(../../images/patterns/noise.png) 0 0 repeat;\r\n position: fixed;\r\n width: 100%;\r\n z-index: 10;\r\n height: 200px;\r\n -webkit-transition: bottom 200ms linear;\r\n -moz-transition: bottom 200ms linear;\r\n -o-transition: bottom 200ms linear;\r\n -ms-transition: bottom 200ms linear;\r\n transition: bottom 200ms linear\r\n}\r\n\r\n.media-tabs {\r\n box-shadow: 0 -4px 9px rgba(0,0,0,.5)\r\n}\r\n\r\n.media-tabs-ul {\r\n background: #0d0d0d;\r\n border-top: 1px solid #212121;\r\n height: 36px\r\n}\r\n\r\n.media-tabs-li {\r\n border-right: 1px solid #1e1e1e;\r\n border-right: 1px solid rgba(255,255,255,.08);\r\n float: left\r\n}\r\n\r\n.media-tabs-li.active,.media-tabs-li .media-more-link:hover {\r\n background: #242424 url(../../images/patterns/noise.png) 0 0 repeat\r\n}\r\n\r\n.media-tabs-li {\r\n color: #fff;\r\n cursor: pointer;\r\n display: block;\r\n font-family: Arial;\r\n font-size: 12px;\r\n font-weight: 600;\r\n letter-spacing: 1px;\r\n padding: 10px\r\n}\r\n\r\n.media-tabs-li.more-from span {\r\n text-transform: capitalize\r\n}\r\n\r\n.media-tabs-li.expando {\r\n float: right\r\n}\r\n\r\n.media-tabs-li.expando {\r\n height: 16px;\r\n text-indent: -9999em;\r\n overflow: hidden;\r\n width: 20px\r\n}\r\n\r\n.media-tabs-li.expando {\r\n background: transparent url(../../images/sprites/cinematic-sprite.png) 0 -89px no-repeat!important\r\n}\r\n\r\n.open .media-tabs-li.expando {\r\n background: transparent url(../../images/sprites/cinematic-sprite.png) 0 -132px no-repeat!important\r\n}\r\n\r\n.media-view .playlist {\r\n height: 170px;\r\n position: absolute;\r\n top: 36px;\r\n width: 100%\r\n}\r\n\r\n.media-view .playlist {\r\n padding: 14px\r\n}\r\n\r\n.media-playlist-li {\r\n float: left;\r\n width: 180px;\r\n opacity: .5\r\n}\r\n\r\n.media-playlist-li.active,.media-playlist-li:hover {\r\n opacity: 1\r\n}\r\n\r\n.media-playlist-li.active .image,.media-playlist-li:hover .image {\r\n background-color: #1ea3ff;\r\n border-top: 1px solid #1ea3ff\r\n}\r\n\r\n.media-playlist-li .image.gallery {\r\n box-shadow: 1px 1px 0 #000,2px 2px 0 #646464,3px 3px 0 #000,4px 4px 0 #646464;\r\n top: 0\r\n}\r\n\r\n.media-playlist-li .media-more-link {\r\n display: block\r\n}\r\n\r\n.media-playlist-li .image {\r\n background: #646464 url(../../images/patterns/noise.png) 0 0 repeat;\r\n border-top: 1px solid #939393;\r\n display: block;\r\n height: 90px;\r\n margin: auto;\r\n padding: 5px;\r\n width: 160px\r\n}\r\n\r\n.media-playlist-li .caption {\r\n color: #fff;\r\n display: block;\r\n font-family: Arial;\r\n font-size: 12px;\r\n font-weight: 600;\r\n height: 32px;\r\n margin: 9px auto;\r\n overflow: hidden;\r\n text-align: center;\r\n width: 160px\r\n}\r\n\r\n.media-view .playlist.recommended .image {\r\n overflow: hidden;\r\n text-align: center\r\n}\r\n\r\n.media-playlist-recommended-img {\r\n height: 100%\r\n}\r\n\r\n.partner-media-ad {\r\n height: 615px;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.media-view .image-view {\r\n padding: 10px;\r\n text-align: center\r\n}\r\n\r\n.media-view .video-view {\r\n padding: 10px\r\n}\r\n\r\n.article-cinematic-video .video .meta {\r\n margin: 10px 0 3px\r\n}\r\n\r\n.media-view .video-view .video {\r\n margin: 0 auto\r\n}\r\n\r\n.galleries.video {\r\n height: auto\r\n}\r\n\r\n.galleries.video>.viewport {\r\n padding-top: 0\r\n}\r\n\r\n.galleries.video .caption {\r\n font: 13px arial;\r\n color: #666\r\n}\r\n\r\n.galleries.video .caption>.credit {\r\n font-size: 11px\r\n}\r\n\r\n.video-view .ui-video-play-btn {\r\n background: url(../../images/buttons/button_video_play.png) no-repeat;\r\n height: 93px;\r\n width: 93px;\r\n margin-left: -47px;\r\n margin-top: -47px;\r\n top: 50%;\r\n left: 50%;\r\n position: absolute\r\n}\r\n\r\n.video-view .video-endslate {\r\n padding: 0\r\n}\r\n\r\n.gallery.article-cinematic-video {\r\n background-color: #1a1a1a;\r\n background-color: rgba(27,27,27,.6);\r\n margin: 6px auto 110px;\r\n width: 820px;\r\n position: relative;\r\n box-shadow: 0 1px 5px #151515 inset;\r\n top: 14px;\r\n padding: 10px 10px 0;\r\n z-index: 1\r\n}\r\n\r\n.media-view .cinematic-view .gallery {\r\n background-color: #1a1a1a;\r\n background-color: rgba(27,27,27,.6);\r\n margin: 0 auto;\r\n padding: 0;\r\n z-index: 1;\r\n position: relative;\r\n top: 0;\r\n box-shadow: 0 1px 5px #151515 inset\r\n}\r\n\r\n.media-view .medialistitems .gallery {\r\n position: static;\r\n width: 170px\r\n}\r\n\r\n.media-view .gallery .header {\r\n color: #6e6e6e;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n height: 30px;\r\n width: 100%;\r\n padding: 5px 10px;\r\n margin-top: -70px;\r\n position: absolute;\r\n z-index: 40;\r\n margin-left: -20px\r\n}\r\n\r\n.cinematic-header-headline {\r\n text-align: center;\r\n min-width: 810px;\r\n margin-left: 0;\r\n vertical-align: top;\r\n padding-top: 4px\r\n}\r\n\r\n.lt-ie9 .cinematic-header-headline {\r\n padding-top: 0\r\n}\r\n\r\n.lt-ie9 .cinematic-side-nav-li {\r\n height: 40px\r\n}\r\n\r\n.cinematic-header-headline>.cinematic-headline-div {\r\n display: inline-block;\r\n width: auto;\r\n color: #fff;\r\n font-size: 18px;\r\n font-weight: 700\r\n}\r\n\r\n.cinematic-header-headline>.title {\r\n margin: 3px 8px\r\n}\r\n\r\n.lt-ie9 .cinematic-header-headline>.title {\r\n margin: 0 8px 6px\r\n}\r\n\r\n.cinematic-headline-date>.date {\r\n color: #999;\r\n font-size: 11px;\r\n width: 7em\r\n}\r\n\r\n.lt-ie9 .cinematic-headline-date>.date {\r\n line-height: 35px;\r\n height: 40px;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.media-view .gallery .right {\r\n color: #666;\r\n font-family: Arial,sans-serif;\r\n font-size: 11px;\r\n width: 90px\r\n}\r\n\r\n.cinematic-right-div {\r\n padding: 3px 3px 3px 24px\r\n}\r\n\r\n.media-view .gallery .view-story {\r\n background: transparent url(../../images/sprites/cinematic-sprite.png) 0 -24px no-repeat;\r\n color: #c8c8c8;\r\n font-weight: 700\r\n}\r\n\r\n.media-view .gallery .views {\r\n background: transparent url(../../images/sprites/cinematic-sprite.png) 0 -43px no-repeat;\r\n font-size: 11px\r\n}\r\n\r\n.media-grid-list-meta-li.date,.media-view .type-gallery .date {\r\n background: transparent url(../../images/sprites/cinematic-sprite.png) 0 -60px no-repeat;\r\n font-size: 11px\r\n}\r\n\r\n.media-view .type-gallery .vertical-divider {\r\n background-color: #000;\r\n border-top: 0;\r\n border-left: 1px solid #232323;\r\n border-right: 1px solid #232323;\r\n float: left;\r\n height: 60px;\r\n width: 1px;\r\n margin: 10px 20px\r\n}\r\n\r\n.media-view .gallery .header .meta,.media-view .gallery .header .subtitle,.media-view .gallery .header .title {\r\n clear: none;\r\n color: #fff;\r\n float: left;\r\n font-size: 18px;\r\n font-weight: 700;\r\n margin-top: 1px;\r\n width: auto;\r\n position: static;\r\n border: 0\r\n}\r\n\r\n.media-view .gallery .header .title {\r\n margin: 3px 8px\r\n}\r\n\r\n.media-view .gallery .header .parent-label {\r\n position: inherit\r\n}\r\n\r\n.media-view .gallery .header .left {\r\n float: left;\r\n height: 80px;\r\n overflow: hidden;\r\n width: 644px;\r\n position: absolute;\r\n z-index: 300\r\n}\r\n\r\n.media-view .thumb-item>.thumb-link {\r\n border: 5px solid transparent\r\n}\r\n\r\n.media-view .thumb-item.active>.thumb-link {\r\n border-color: #1ea3ff\r\n}\r\n\r\n.media-view .thumb-link>.thumb-image {\r\n opacity: .6\r\n}\r\n\r\n.media-view .thumb-link:hover>.thumb-image,.media-view .thumb-item.active>.thumb-link>.thumb-image {\r\n opacity: 1\r\n}\r\n\r\n.media-view .feature-btns {\r\n display: block;\r\n height: 36px;\r\n margin: 0 10px;\r\n z-index: 300;\r\n width: 800px\r\n}\r\n\r\n.media-view .feature-btns>.media-feature-btns {\r\n background: #1c1c1c;\r\n border: 1px solid #333;\r\n border-radius: 3px;\r\n color: #fff;\r\n cursor: pointer;\r\n clear: none;\r\n font: 700 11px sans-serif;\r\n height: 8px;\r\n margin: 3px 6px;\r\n padding: 6px 27px 11px;\r\n display: inline-block;\r\n overflow: hidden;\r\n width: auto\r\n}\r\n\r\n.media-view .media-cinematic-actions {\r\n padding: 0;\r\n width: auto\r\n}\r\n\r\n.media-tabs .feature-btns {\r\n height: auto;\r\n overflow: hidden;\r\n width: auto;\r\n display: table;\r\n float: left\r\n}\r\n\r\n.media-view .feature-btns .ticker {\r\n margin-top: 2px\r\n}\r\n\r\n.media-view .feature-btns div {\r\n margin-top: 4px\r\n}\r\n\r\n.media-view .feature-btns .fullscreen,.media-view .galleries .meta .toolbox {\r\n display: none\r\n}\r\n\r\n.media-view .feature-btns .fullscreen .fullscreenLabel {\r\n background: url(../../images/components/ft-share-btns.png) -170px -4px no-repeat;\r\n height: 20px;\r\n margin-left: -2px;\r\n overflow: hidden;\r\n padding: 0;\r\n text-indent: -99999px;\r\n width: 20px\r\n}\r\n\r\n.media-view .feature-btns .fullscreen:hover .fullscreenLabel {\r\n background-position: -212px -4px\r\n}\r\n\r\n.media-feature-btns>span {\r\n background: url(../../images/sprites/gal-nav.png) no-repeat;\r\n height: 12px;\r\n padding-left: 15px;\r\n float: left\r\n}\r\n\r\n.media-feature-btns>.pause {\r\n background-position: 0 -35px\r\n}\r\n\r\n.media-feature-btns .thumbnails .thumbLabel {\r\n background-position: 0 -11px;\r\n padding-left: 20px;\r\n width: 96px\r\n}\r\n\r\n.lt-ie9 .media-feature-btns .thumbnails .thumbLabel {\r\n width: 124px\r\n}\r\n\r\n.media-feature-btns .captions .captionLabel {\r\n background-position: 0 -23px;\r\n padding-left: 30px;\r\n width: 82px\r\n}\r\n\r\n.medialistitems:nth-child(-n+1) .thumbnail,.medialistitems:nth-child(-n+1) .media-gallery-bg,.medialistitems:nth-child(-n+1) .thumbnail .thumb-img {\r\n width: 340px;\r\n height: 190px\r\n}\r\n\r\n.media-grid-ul>.medialistitems:nth-child(-n+1){width:350px;height:280px}.medialistitems:nth-child(-n+1) .meta.gallery .author{display:inline-block}\r\n\r\n.media-view .media-main-view .galleries .slide-nav {\r\n opacity: 1;\r\n visibility: visible;\r\n display: none\r\n}\r\n\r\n.back-to-media {\r\n width: 30px\r\n}\r\n\r\n@media only screen and (min-width: 907px) {\r\n .medialistitems:nth-child(-n+2) .thumbnail,.medialistitems:nth-child(-n+2) .media-gallery-bg,.medialistitems:nth-child(-n+2) .thumbnail>.thumb-img {\r\n width:340px;\r\n height: 190px\r\n }\r\n \r\n .media-grid-ul>.medialistitems:nth-child(-n+2){width:350px;height:280px}.medialistitems:nth-child(-n+2) .meta.gallery .author{display:inline-block}\r\n}\r\n\r\n@media only screen and (min-width: 1267px) {\r\n .media-content {\r\n width:1087px\r\n }\r\n \r\n .back-to-media {\r\n width: 175px\r\n }\r\n \r\n .cinematic-side-nav-li.fixed {\r\n width: 22%\r\n }\r\n \r\n .medialistitems:nth-child(-n+3) .thumbnail,.medialistitems:nth-child(-n+3) .media-gallery-bg,.medialistitems:nth-child(-n+3) .thumbnail>.thumb-img {\r\n width: 340px;\r\n height: 190px\r\n }\r\n \r\n .media-grid-ul>.medialistitems:nth-child(-n+3){width:350px;height:280px}.medialistitems:nth-child(-n+3) ul.gallery .author{display:inline-block}\r\n}\r\n\r\n@media only screen and (min-width: 1620px) {\r\n .media-content {\r\n width:1440px\r\n }\r\n \r\n .medialistitems:nth-child(-n+4) .thumbnail,.medialistitems:nth-child(-n+4) .media-gallery-bg,.medialistitems:nth-child(-n+4) .thumbnail>.thumb-img {\r\n width: 340px;\r\n height: 190px\r\n }\r\n \r\n .medialistitems:nth-child(-n+4){width:350px;height:280px}.medialistitems:nth-child(-n+4) ul.gallery .author{display:inline-block}\r\n}\r\n\r\n@media only screen and (min-width: 1980px) {\r\n .media-content {\r\n width:1800px\r\n }\r\n \r\n .medialistitems:nth-child(-n+5) .thumbnail,.medialistitems:nth-child(-n+5) .media-gallery-bg,.medialistitems:nth-child(-n+5) .thumbnail>.thumb-img {\r\n width: 340px;\r\n height: 190px\r\n }\r\n \r\n .medialistitems:nth-child(-n+5){width:350px;height:280px}.medialistitems:nth-child(-n+5) ul.gallery .author{display:inline-block}\r\n}\r\n\r\n.partner-gallery-skin .ad-slot>iframe {\r\n display: none\r\n}\r\n\r\n.partner-gallery-skin {\r\n bottom: 0;\r\n height: auto;\r\n left: 0;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: auto;\r\n z-index: 0\r\n}\r\n\r\n.partner-gallery-skin>iframe {\r\n display: block\r\n}\r\n\r\n.video-view .video-full-transcript-control,.video-view .video-full-transcript {\r\n margin-left: 0\r\n}\r\n\r\n.video-view .video-desc {\r\n font: 11px/18px Arial;\r\n margin-bottom: 10px\r\n}\r\n\r\n.video-view .ui-video-controls {\r\n margin: 15px 0 0\r\n}\r\n\r\n.video-view .video-keywords-display,.video-view .video-search-display,.video-view .no-results,.video-view .video-search-term {\r\n color: #fff\r\n}\r\n\r\n.galleries.video .text-transcript-caption {\r\n color: #fff\r\n}\r\n\r\n.galleries.video .active-transcript {\r\n color: #009bff\r\n}\r\n\r\n.video-view .transcript-scroll-fade-left {\r\n background: url(../../images/components/utility-btns.png) -800px -218px;\r\n width: 14px;\r\n height: 20px;\r\n position: absolute;\r\n left: 323px;\r\n margin-top: -20px\r\n}\r\n\r\n.video-view .transcript-scroll-fade-right {\r\n background: url(../../images/components/utility-btns.png) -730px -278px;\r\n width: 30px;\r\n height: 20px;\r\n position: absolute;\r\n right: 66px;\r\n margin-top: -17px\r\n}\r\n\r\n.error404 {\r\n text-align: center;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.004);\r\n width: 980px;\r\n margin-top: 80px\r\n}\r\n\r\n.error404 .head,.error404 .info,.error404 .message {\r\n margin: 0 auto\r\n}\r\n\r\n.error404 .clues {\r\n font: arial,serif;\r\n color: #bbb\r\n}\r\n\r\n.error404 .head {\r\n font: 400 4.01em/1 'Futura Today Bold',arial,sans-serif;\r\n text-shadow: rgba(0,0,0,.5) -1px 0,rgba(0,0,0,.3) 0 -1px,rgba(255,255,255,.5) 0 1px,rgba(0,0,0,.3) -1px -1px;\r\n color: #d0d0d0;\r\n padding: 0\r\n}\r\n\r\n.error404 .info {\r\n font-size: 1.625em;\r\n line-height: 2;\r\n color: #ff6400;\r\n padding-bottom: .5em\r\n}\r\n\r\n.error404 .message {\r\n font-size: 1.125em;\r\n font-weight: 400;\r\n line-height: 1.5;\r\n color: #666\r\n}\r\n\r\n.search .clues {\r\n position: absolute;\r\n bottom: 0;\r\n right: 0;\r\n display: inline-block\r\n}\r\n\r\n.news .clues {\r\n display: inline-block;\r\n right: 0;\r\n position: absolute;\r\n bottom: 0\r\n}\r\n\r\n#footer_wrap {\r\n width: 980px;\r\n display: inline-block;\r\n margin-left: 0;\r\n margin-top: 50px\r\n}\r\n\r\n.overlay-everything .site-footer-fixed-width {\r\n width: 840px\r\n}\r\n\r\n.overlay-everything .footer nav {\r\n padding-bottom: 50px;\r\n width: 100%\r\n}\r\n\r\n.cards {\r\n min-height: 100%;\r\n min-height: 100vh;\r\n overflow: hidden;\r\n width: 100%\r\n}\r\n\r\n.card-wrap-primary-flex-sidebar-page {\r\n background: rgba(0,0,0,0) no-repeat top center;\r\n left: 0;\r\n margin: 0 auto 40px;\r\n padding-top: 50px;\r\n position: relative;\r\n top: 0;\r\n width: 1080px\r\n}\r\n\r\n.theme-bg-ssts-label {\r\n background-color: #6f6f6f;\r\n color: #fff;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 10px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 9px;\r\n padding: 4px;\r\n text-transform: uppercase\r\n}\r\n\r\n@media (min-width: 1321px) {\r\n .card-wrap-primary-flex-sidebar-page {\r\n width:1320px\r\n }\r\n}\r\n\r\n.card-primary-wrapper {\r\n background: #fff url(../../images/patterns/noise_fff.png) repeat top left;\r\n position: relative;\r\n width: 840px;\r\n z-index: 15\r\n}\r\n\r\n.card-primary-modules {\r\n float: left;\r\n width: 720px\r\n}\r\n\r\n.card-primary-flex-modules.card-primary-wrapper {\r\n background: #fff;\r\n width: 720px\r\n}\r\n\r\n.card-primary-suspender {\r\n clear: both;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.card-full-width {\r\n clear: both;\r\n position: relative\r\n}\r\n\r\n.primary-module {\r\n border-bottom: 1px solid #e1e1e1;\r\n border-bottom: 1px solid rgba(0,0,0,.15);\r\n border-right: 1px solid #e1e1e1;\r\n border-right: 1px solid rgba(0,0,0,.15);\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n width: 720px;\r\n position: relative\r\n}\r\n\r\n.primary-module-primary-suspender-sidebar-page {\r\n float: right\r\n}\r\n\r\n.primary-module-primary-flex-sidebar-page {\r\n border-left: 1px solid #ccc;\r\n border-bottom: 1px solid #e6e6e6;\r\n border-width: 0 0 1px 1px;\r\n float: left\r\n}\r\n\r\n@media (min-width: 1321px) {\r\n .primary-module-primary-flex-sidebar-page-last {\r\n border-bottom:0\r\n }\r\n}\r\n\r\n.primary-flex-module {\r\n border-bottom: 1px solid #e6e6e6;\r\n border-left: 1px solid #ccc\r\n}\r\n\r\n.primary-flex-module.bottom-primary-flex-module {\r\n border-bottom: 1px solid #ccc\r\n}\r\n\r\n.secondary-module {\r\n border-bottom: 1px solid #e6e6e6\r\n}\r\n\r\n.secondary-module-last {\r\n border-bottom: 0\r\n}\r\n\r\n@media (max-width: 1320px) {\r\n .secondary-module {\r\n border-left:1px solid #ccc\r\n }\r\n}\r\n\r\n.card-suspender {\r\n border-top: 1px solid rgba(0,0,0,.15);\r\n clear: both;\r\n color: #fff;\r\n float: left;\r\n margin-top: -1px;\r\n position: relative;\r\n width: 120px\r\n}\r\n\r\n.card-section-name {\r\n color: #fff;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-weight: 400;\r\n font-size: 13px;\r\n letter-spacing: 1px;\r\n line-height: 13px;\r\n margin: 0;\r\n padding: 15px 5px 10px;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n text-transform: uppercase\r\n}\r\n\r\n.card-subsection-item {\r\n border-bottom: 1px solid rgba(0,0,0,.15);\r\n border-top: 1px solid rgba(255,255,225,.14);\r\n font-size: 13px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n padding: 0\r\n}\r\n\r\n.card-subsection-item.selected {\r\n background-color: rgba(0,0,0,.2);\r\n border-bottom: 0;\r\n border-top-color: rgba(0,0,0,.35)\r\n}\r\n\r\n.card-subsection-item:hover {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.05);\r\n border-bottom-color: rgba(0,0,0,.05);\r\n border-top-color: rgba(0,0,0,.05);\r\n cursor: pointer\r\n}\r\n\r\n.card-section-link,.card-section-link:visited,.card-section-link:hover {\r\n color: #fff;\r\n display: block\r\n}\r\n\r\n.card-subsection-link,.card-subsection-link:visited,.card-subsection-link:hover {\r\n color: #fff;\r\n display: block;\r\n padding: 10px 10px 10px 15px\r\n}\r\n\r\n.card-suspender-title {\r\n color: #fff;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 13px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 13px;\r\n margin: 0 0 0 1px;\r\n padding: 14px 8px 10px;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15);\r\n text-transform: uppercase;\r\n width: 103px\r\n}\r\n\r\n.card-suspender-color {\r\n background: url(../../images/patterns/noise.png) repeat top left;\r\n bottom: 0;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 120px\r\n}\r\n\r\n.card-suspender-color {\r\n background-color: #009bff\r\n}\r\n\r\n.partner-background .card-container {\r\n background: transparent\r\n}\r\n\r\n.card-container,#cards-offset-placeholder {\r\n background: #f6f6f6 url(../../images/patterns/noise_f6f6f6.png) repeat top left\r\n}\r\n\r\n.card-container {\r\n left: 0;\r\n margin-bottom: 20px;\r\n position: relative;\r\n z-index: 0\r\n}\r\n\r\n.card-wrap {\r\n background: transparent no-repeat top center;\r\n left: 0;\r\n margin: 0 auto;\r\n padding-top: 50px;\r\n position: relative;\r\n top: 0\r\n}\r\n\r\n.card-wrap-primary-suspender-sidebar-page {\r\n width: 840px\r\n}\r\n\r\n.card-wrap.hidden {\r\n display: none\r\n}\r\n\r\n.card-primary-suspender-sidebar-page,.card-primary-flex-sidebar-page {\r\n position: relative;\r\n z-index: 5\r\n}\r\n\r\n.card div.sh_bottom {\r\n background: rgba(0,0,0,.05);\r\n bottom: -8px;\r\n box-sizing: border-box;\r\n height: 8px;\r\n left: 10px;\r\n position: absolute;\r\n right: 10px;\r\n z-index: 0\r\n}\r\n\r\n.card-wrap-behind-overlay {\r\n bottom: 0;\r\n left: 0;\r\n position: absolute;\r\n padding: 0;\r\n right: 0;\r\n z-index: 0\r\n}\r\n\r\n.card-wrap-primary-flex-sidebar-page>.card-loading>.card-suspender-color,.card-wrap-primary-flex-sidebar-page>.card-loading>.sidebar {\r\n display: none\r\n}\r\n\r\n.card-wrap-primary-flex-sidebar-page.card-wrap-behind-overlay>.card-loading {\r\n background: #2b2b2b\r\n}\r\n\r\n.card-primary-secondary-modules {\r\n background: #fff;\r\n border-bottom: 1px solid #e0e0e0;\r\n float: left;\r\n position: relative\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .card-wrap-primary-suspender-sidebar-page {\r\n width:1080px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .card-wrap-primary-suspender-sidebar-page {\r\n width:1180px\r\n }\r\n}\r\n\r\n@media (max-width: 1320px) {\r\n .card-secondary-modules {\r\n clear:both\r\n }\r\n}\r\n\r\n@media (min-width: 1321px) {\r\n .card-primary-flex-modules.card-primary-wrapper {\r\n width:960px\r\n }\r\n \r\n .card-secondary-modules {\r\n float: right;\r\n width: 240px\r\n }\r\n \r\n .card-primary-secondary-modules:after {\r\n background: #e6e6e6;\r\n bottom: 0;\r\n content: '';\r\n display: block;\r\n left: 720px;\r\n position: absolute;\r\n top: 0;\r\n width: 1px\r\n }\r\n \r\n .card-primary-secondary-modules:before {\r\n background: #ccc;\r\n bottom: 0;\r\n content: '';\r\n display: block;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 1px\r\n }\r\n}\r\n\r\n.third-party .card {\r\n width: 840px;\r\n margin: 0 auto\r\n}\r\n\r\n.front-overlay-arrows {\r\n position: fixed;\r\n top: 45%;\r\n left: 0;\r\n width: 100%;\r\n height: 0;\r\n z-index: 4\r\n}\r\n\r\n.no-touch .front-overlay-arrows:hover {\r\n z-index: 101\r\n}\r\n\r\n.high-impact-ad-visible .front-overlay-arrows {\r\n display: none\r\n}\r\n\r\n@media only screen and (max-height: 395px) {\r\n .front-arrow-wrapper {\r\n display:none\r\n }\r\n}\r\n\r\n@media (max-width: 979px) {\r\n .front-arrow-wrapper {\r\n display:none\r\n }\r\n}\r\n\r\n@media (min-width: 980px) {\r\n .front-arrow-wrapper {\r\n margin:0 auto;\r\n position: relative\r\n }\r\n}\r\n\r\n@media (min-width: 1150px) {\r\n .front-arrow-wrapper {\r\n margin:0 auto;\r\n position: relative\r\n }\r\n}\r\n\r\n@media (min-width: 1250px) {\r\n .front-arrow-wrapper {\r\n position:relative;\r\n margin: 0 auto\r\n }\r\n}\r\n\r\n#cards-prev-link,#cards-next-link {\r\n -moz-transition: opacity 400ms ease-in-out;\r\n -o-transition: opacity 400ms ease-in-out;\r\n transition: opacity 400ms ease-in-out;\r\n height: 55px;\r\n position: relative;\r\n visibility: hidden;\r\n width: 55px;\r\n z-index: 100\r\n}\r\n\r\n#cards-prev-link {\r\n float: left\r\n}\r\n\r\n#cards-next-link {\r\n float: right\r\n}\r\n\r\n.cards-nav-icon:before {\r\n color: #4c4c4c;\r\n display: block;\r\n height: 55px;\r\n font-family: 'Gannett Icons';\r\n font-size: 55px;\r\n line-height: 79px;\r\n position: relative;\r\n top: -11px;\r\n width: 29px\r\n}\r\n\r\n#cards-prev-link .cards-nav-icon:before {\r\n content: '<'\r\n}\r\n\r\n#cards-next-link .cards-nav-icon:before {\r\n content: '>'\r\n}\r\n\r\n.front-overlay-next-arrows-anchor,.front-overlay-prev-arrows-anchor {\r\n background-color: #242424;\r\n height: 55px;\r\n position: absolute;\r\n top: 0;\r\n transition: left .1s ease-out,right .1s ease-out\r\n}\r\n\r\n.front-overlay-next-arrows-anchor {\r\n padding: 0 20px 0 30px;\r\n right: -200px\r\n}\r\n\r\n.front-overlay-prev-arrows-anchor {\r\n padding: 0 30px 0 20px;\r\n left: -200px\r\n}\r\n\r\n.no-touch #cards-next-link:hover .front-overlay-next-arrows-anchor {\r\n right: 0\r\n}\r\n\r\n.no-touch #cards-prev-link:hover .front-overlay-prev-arrows-anchor {\r\n left: 0\r\n}\r\n\r\n.front-prev-arrow-label,.front-next-arrow-label {\r\n color: #fff;\r\n font: 13px/18px 'Futura Today DemiBold',Arial,sans-serif;\r\n margin-top: 18px;\r\n text-align: center;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.5);\r\n white-space: nowrap\r\n}\r\n\r\n.front-next-arrow-label:after,.front-prev-arrow-label:before {\r\n display: inline-block;\r\n font-family: 'Gannett Icons';\r\n font-weight: 700;\r\n font-size: 12px;\r\n position: relative;\r\n top: 1px\r\n}\r\n\r\n.front-next-arrow-label:after {\r\n content: '>';\r\n margin-left: 6px\r\n}\r\n\r\n.front-prev-arrow-label:before {\r\n content: '<';\r\n margin-right: 6px\r\n}\r\n\r\n.front-prev-story-content-holder {\r\n -webkit-transition: left .3s ease-in-out;\r\n -moz-transition: left .3s ease-in-out\r\n}\r\n\r\n.front-next-story-content-holder {\r\n -webkit-transition: right .3s ease-in-out;\r\n -moz-transition: right .3s ease-in-out\r\n}\r\n\r\n.stag-masthead>h1 {\r\n color: #fff;\r\n font: 700 50px/54px 'helvetica neue',arial,sans-serif;\r\n text-shadow: 4px 3px 3px rgba(0,0,0,.4);\r\n margin: 0 0 25px\r\n}\r\n\r\n#topic-card.fixed {\r\n position: fixed;\r\n width: 100%\r\n}\r\n\r\n#topic-card footer {\r\n position: relative\r\n}\r\n\r\n.card-loading {\r\n background: #fff url(../../images/preloaders/loop-loader.gif) no-repeat 48% 300px;\r\n box-shadow: none;\r\n height: 100%;\r\n position: relative\r\n}\r\n\r\n.card-loading::before,.card-loading::after {\r\n background: #f6f6f6 url(../../images/patterns/noise_f6f6f6.png) repeat top;\r\n content: '';\r\n display: none;\r\n position: absolute\r\n}\r\n\r\n.card-loading::before {\r\n height: 30px;\r\n left: 0;\r\n top: -30px;\r\n width: 100%\r\n}\r\n\r\n.card-loading::after {\r\n height: 480px;\r\n right: -30px;\r\n top: -30px;\r\n width: 30px\r\n}\r\n\r\n.sports.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_sports.gif)\r\n}\r\n\r\n.life.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_life.gif)\r\n}\r\n\r\n.money.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_money.gif)\r\n}\r\n\r\n.tech.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_tech.gif)\r\n}\r\n\r\n.travel.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_travel.gif)\r\n}\r\n\r\n.opinion.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_opinion.gif)\r\n}\r\n\r\n.weather.card-loading {\r\n background-image: url(../../images/preloaders/loop-loader_weather.gif)\r\n}\r\n\r\n.card-loading-border {\r\n border: 1px solid #e9e9e9;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n content: '';\r\n height: 100%\r\n}\r\n\r\n.punchout-cover {\r\n display: none\r\n}\r\n\r\n.punchout-cover.show {\r\n display: block;\r\n height: 20px;\r\n background-image: url(../../images/patterns/noise_f6f6f6.png);\r\n margin-top: -21px\r\n}\r\n\r\n.card-primary .ui-loader {\r\n display: block;\r\n width: 720px;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n right: 0;\r\n z-index: 500\r\n}\r\n\r\n.card-primary .ui-loader .loading-icon {\r\n display: block;\r\n width: 65px;\r\n height: 65px;\r\n margin-left: -32px;\r\n margin-top: -32px;\r\n left: 50%;\r\n position: absolute;\r\n top: 50%;\r\n background: url(../../images/preloaders/windmill-loader_2x_light.gif) no-repeat 0 0\r\n}\r\n\r\n.card-primary .ui-loader span {\r\n display: none\r\n}\r\n\r\n.left-suspender {\r\n position: absolute;\r\n left: 0;\r\n height: 100%;\r\n width: 120px;\r\n background-image: url(../../images/patterns/noise.png)\r\n}\r\n\r\n#cards-offset-placeholder {\r\n display: block;\r\n position: fixed;\r\n height: 50px;\r\n top: 0;\r\n width: 100%;\r\n z-index: 5\r\n}\r\n\r\n#overlay .card-wrap {\r\n height: 100%;\r\n min-height: 0;\r\n position: absolute;\r\n padding: 0;\r\n bottom: 0;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.partner-pushdown-ad {\r\n left: 50%;\r\n margin-left: -420px;\r\n position: relative;\r\n top: 0;\r\n width: 840px;\r\n z-index: 1\r\n}\r\n\r\n.partner-pushdown-ad>iframe {\r\n display: block\r\n}\r\n\r\n.partner-placement.partner-pushdown-ad.iab-risingstar {\r\n left: 0;\r\n margin: -5px auto -10px;\r\n top: -25px;\r\n width: 970px\r\n}\r\n\r\n.iab-risingstar>.partner-close {\r\n display: none\r\n}\r\n\r\n.iab-third-party {\r\n position: relative\r\n}\r\n\r\n.partner-pushdown-ad.size-m {\r\n margin-left: -540px;\r\n width: 1080px\r\n}\r\n\r\n.partner-pushdown-ad.size-l {\r\n margin-left: -590px;\r\n width: 1180px\r\n}\r\n\r\n.partner-pushdown-ad.size-xl {\r\n margin-left: -660px;\r\n width: 1320px\r\n}\r\n\r\n.partner-dual-pushdown {\r\n position: absolute;\r\n top: 50px\r\n}\r\n\r\n.partner-dual-pushdown>.partner-close {\r\n display: none\r\n}\r\n\r\n.partner-dual-pushdown.size-xl {\r\n margin-left: -660px;\r\n width: 1320px\r\n}\r\n\r\n.partner-dual-pushdown.size-l {\r\n margin-left: -590px;\r\n width: 1180px\r\n}\r\n\r\n.partner-dual-pushdown.size-m {\r\n margin-left: -540px;\r\n width: 1080px\r\n}\r\n\r\n.partner-dual-pushdown.size-s {\r\n margin-left: -420px;\r\n width: 840px\r\n}\r\n\r\n.partner-gravity-ad {\r\n height: 100%;\r\n left: 0;\r\n margin: 0;\r\n opacity: 0;\r\n position: fixed;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.partner-scroll {\r\n background: transparent url(../../images/components/get-the-news-arrow.png) no-repeat 0 0;\r\n bottom: 25px;\r\n display: none;\r\n height: 61px;\r\n left: 50%;\r\n margin-left: -57px;\r\n outline: 0;\r\n position: absolute;\r\n width: 114px;\r\n z-index: 98\r\n}\r\n\r\n.partner-leavebehind {\r\n cursor: pointer;\r\n display: none;\r\n height: 30px;\r\n margin-top: -40px;\r\n overflow: hidden;\r\n position: absolute;\r\n width: 420px;\r\n z-index: 1\r\n}\r\n\r\n.partner-leavebehind.show {\r\n display: block\r\n}\r\n\r\n.partner-leavebehind.compact {\r\n height: 43px;\r\n margin-top: -43px;\r\n width: 120px\r\n}\r\n\r\n.leavebehind-sponsoredby {\r\n color: #999;\r\n font-size: 11px;\r\n float: left;\r\n line-height: 11px;\r\n padding: 10px 8px 0 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.partner-leavebehind.compact .leavebehind-sponsoredby {\r\n font-size: 8px;\r\n line-height: 8px;\r\n padding: 0 0 2px;\r\n text-align: center;\r\n width: 120px\r\n}\r\n\r\n.partner-leavebehind.compact .leavebehind-image {\r\n margin: 0 0 0 10px\r\n}\r\n\r\n.partner-slider-ad {\r\n background: transparent url(../../images/pixels/pixel-black-85percent.png) repeat top left;\r\n background: rgba(0,0,0,.85);\r\n border-left: 1px solid #000;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n display: none;\r\n height: 100%;\r\n padding: 10px 0;\r\n position: absolute;\r\n right: 0;\r\n width: 370px;\r\n z-index: 99\r\n}\r\n\r\na.partner-slider-close {\r\n border-bottom: 1px solid #373737;\r\n border-bottom: 1px solid rgba(255,255,255,.08);\r\n color: #fff;\r\n filter: dropshadow(color=#000000,offx=0,offy=1);\r\n font-family: 'Futura Today Bold',helvetica,sans-serif;\r\n font-size: 12px;\r\n height: 31px;\r\n line-height: 24px;\r\n padding: 0 20px;\r\n position: fixed;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.4);\r\n text-transform: uppercase;\r\n width: 330px\r\n}\r\n\r\na.partner-slider-close:before {\r\n background: transparent url(../../images/buttons/button-close.png) no-repeat 0 -141px;\r\n height: 22px;\r\n width: 27px;\r\n top: 0\r\n}\r\n\r\na.partner-slider-close:before:hover {\r\n background-position: 0 -166px\r\n}\r\n\r\na.partner-slider-close:before:active {\r\n background-position: 0 -191px\r\n}\r\n\r\n.partner-slider-ad>.ad-slot {\r\n margin: 53px 20px 0;\r\n position: fixed\r\n}\r\n\r\n.partner-skyline-ad {\r\n left: 50%;\r\n margin-left: -540px;\r\n overflow: hidden;\r\n position: fixed;\r\n bottom: 0;\r\n width: 1080px;\r\n z-index: 1\r\n}\r\n\r\n.sidebar {\r\n bottom: 0;\r\n background: #262626;\r\n border-right: 1px solid #333;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%!important;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: 240px;\r\n z-index: 4\r\n}\r\n\r\n.sidebar-primary-flex-sidebar-page {\r\n width: 360px\r\n}\r\n\r\n.sidebar.top {\r\n border-left: 1px solid #3b3b3b;\r\n z-index: 60\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .sidebar-button,.sidebar-button:visited {\r\n visibility:hidden\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .sidebar-primary-suspender-sidebar-page {\r\n width:340px\r\n }\r\n}\r\n\r\n.sidebar .shadow {\r\n background: transparent;\r\n left: 0;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.sidebar .top-shadow {\r\n box-shadow: 0 -4px 12px 3px rgba(0,0,0,.3);\r\n top: 0\r\n}\r\n\r\n.sidebar .bottom-shadow {\r\n bottom: 0;\r\n box-shadow: 0 2px 11px 2px rgba(0,0,0,.3)\r\n}\r\n\r\n.sidebar-header {\r\n display: block;\r\n -webkit-transition: width .25s ease-out;\r\n -moz-transition: width .25s ease-out;\r\n -ms-transition: width .25s ease-out;\r\n -o-transition: width .25s ease-out;\r\n transition: width .25s ease-out;\r\n border-bottom: 1px solid #dedede;\r\n width: 100%;\r\n height: 13px;\r\n overflow: hidden;\r\n color: #999;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 13px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 13px;\r\n margin: 0;\r\n padding: 14px 0 10px;\r\n text-align: center;\r\n text-shadow: 0 1px 1px #fff;\r\n text-transform: uppercase\r\n}\r\n\r\n.sidebar-button,.sidebar-button:hover,.sidebar-button:visited {\r\n color: #fff;\r\n display: block;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 11px;\r\n height: 45px;\r\n letter-spacing: 1px;\r\n line-height: 29px;\r\n position: absolute;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.2);\r\n text-transform: uppercase;\r\n z-index: 25\r\n}\r\n\r\n.open-sidebar {\r\n background-color: transparent;\r\n background-repeat: no-repeat;\r\n background-position: 0 0;\r\n padding-left: 32px;\r\n right: -13px;\r\n top: -14px;\r\n width: 87px\r\n}\r\n\r\n.open-sidebar>.icon {\r\n background: transparent url(../../images/modules/live-feed/sprite.png) 0 -96px no-repeat;\r\n height: 11px;\r\n position: absolute;\r\n width: 12px;\r\n top: 9px;\r\n left: 15px\r\n}\r\n\r\n.close-sidebar {\r\n background: transparent url(../../images/modules/live-feed/sprite.png) 0 -48px no-repeat;\r\n padding: 0;\r\n left: -12px;\r\n top: -14px;\r\n width: 44px\r\n}\r\n\r\n.partner-sidebar-ad {\r\n overflow: visible;\r\n padding: 20px;\r\n text-align: center\r\n}\r\n\r\n.sidebar-ad-iframe {\r\n display: block\r\n}\r\n\r\n.close-sidebar-icon {\r\n background: transparent url(../../images/modules/live-feed/sprite.png) -60px -146px no-repeat;\r\n height: 21px;\r\n position: absolute;\r\n width: 20px;\r\n top: 6px;\r\n left: 11px\r\n}\r\n\r\n.sidebar-button.hide {\r\n display: none\r\n}\r\n\r\n.sidebar-scrollable-window {\r\n height: 100%;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.sidebar-wrapper {\r\n bottom: 0;\r\n overflow: hidden;\r\n padding-bottom: 36px;\r\n position: absolute;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.sidebar-scrollable-content {\r\n list-style: none outside none;\r\n margin: 0;\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.partner-sidebar-ad {\r\n background: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f\r\n}\r\n\r\n.sidebar.light {\r\n background: #f2f2f2;\r\n border-color: #dedede;\r\n border-bottom: 1px solid #ccc;\r\n border-left: 1px solid #ccc\r\n}\r\n\r\n.sidebar.light.top {\r\n border-left: 1px solid #dcdcdc\r\n}\r\n\r\n.sidebar.light .sidebar-scrollable-window {\r\n background: none repeat scroll 0 0 #f9f9f9\r\n}\r\n\r\n.sidebar.light .sider-bar-loading {\r\n background: url(../../images/preloaders/windmill-loader_1x_light.gif) no-repeat 50% 0 transparent\r\n}\r\n\r\n.sidebar.light .partner-sidebar-ad {\r\n background: #f2f2f2;\r\n border-bottom: 1px solid #dfdfdf\r\n}\r\n\r\n.sidebar-static-title-wrapper {\r\n display: none\r\n}\r\n\r\n.sidebar-static-title-wrapper,.sidebar-title-wrapper {\r\n background-color: #1d1d1d;\r\n border-bottom: 1px solid #0f0f0f;\r\n min-height: 14px;\r\n padding: 11px 0;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.sidebar.light .sidebar-static-title-wrapper,.sidebar.light .sidebar-title-wrapper {\r\n background-color: #ececec;\r\n border-bottom: 1px solid #dfdfdf;\r\n border-top: 0\r\n}\r\n\r\n.sidebar-title {\r\n color: #d2d2d2;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 14px;\r\n font-weight: 400;\r\n letter-spacing: 1px;\r\n line-height: 1;\r\n margin: 0;\r\n padding-left: 20px;\r\n padding-right: 20px;\r\n position: relative;\r\n text-align: left;\r\n text-shadow: 0 1px 1px #000;\r\n text-transform: uppercase\r\n}\r\n\r\n.sidebar-static-title {\r\n color: #fff\r\n}\r\n\r\na.sidebar-promo-link {\r\n color: #858585;\r\n display: block;\r\n position: absolute;\r\n top: 0;\r\n right: 0;\r\n padding: 11px 10px;\r\n font-size: 11px;\r\n text-transform: uppercase\r\n}\r\n\r\n@media only screen and (max-width: 1249px) {\r\n .sidebar-primary-suspender-sidebar-page a.sidebar-promo-link {\r\n display:none\r\n }\r\n}\r\n\r\na.sidebar-promo-link:hover {\r\n color: #c8c8c8\r\n}\r\n\r\n.sidebar.light a.sidebar-promo-link {\r\n padding-bottom: 10px;\r\n border-bottom: 1px solid #dfdfdf;\r\n color: #999\r\n}\r\n\r\n.sidebar.light a.sidebar-promo-link:hover {\r\n color: #282828\r\n}\r\n\r\n.sidebar.light .sidebar-title {\r\n color: #282828;\r\n text-shadow: 0 1px 1px #fff\r\n}\r\n\r\n.utility-bar-wrap {\r\n background: #dadcde url(../../images/components/utility-bar-noise.png);\r\n bottom: 0;\r\n box-shadow: inset -2px 0 4px -1px rgba(0,0,0,.2);\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n width: 40px;\r\n z-index: 101\r\n}\r\n\r\n.utility-bar-wrap.show {\r\n display: block\r\n}\r\n\r\n.utility-bar {\r\n height: 100%\r\n}\r\n\r\n.util-bar-primary-modules {\r\n border-bottom: 1px solid #e1e1e1;\r\n box-shadow: inset 0 -1px 0 0 rgba(0,0,0,.15);\r\n padding-bottom: 1px;\r\n position: absolute;\r\n top: 80px\r\n}\r\n\r\n.util-bar-btn,.util-bar-btn:visited {\r\n border-top: 1px solid #bdbdbd;\r\n box-shadow: inset 0 1px 1px #e2e3e5;\r\n color: #000;\r\n cursor: pointer;\r\n display: block;\r\n font-size: 11px;\r\n font-weight: 700;\r\n padding: 7px 0;\r\n position: relative;\r\n text-align: center;\r\n width: 40px;\r\n text-shadow: 0 1px 0 rgba(255,255,255,.7);\r\n -webkit-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -moz-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -ms-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -o-transition: background-color .1s,color .1s,box-shadow .1s;\r\n transition: background-color .1s,color .1s,box-shadow .1s\r\n}\r\n\r\n.util-bar-btn:hover,.util-bar-btn.active {\r\n background: #e8e8e8;\r\n box-shadow: inset 0 1px 1px #e2e3e5,inset -2px 0 4px -1px rgba(0,0,0,.2)\r\n}\r\n\r\n.util-bar-btn.active,.util-bar-btn.active:hover {\r\n background: #e8e8e8 url(../../images/components/utility-bar-active-noise.png) repeat;\r\n box-shadow: none\r\n}\r\n\r\n.util-bar-btn-facebook:hover,.util-bar-btn-facebook.active {\r\n color: #4862a7\r\n}\r\n\r\n.util-bar-btn-twitter:hover,.util-bar-btn-twitter.active {\r\n color: #1bb2df\r\n}\r\n\r\n.util-bar-btn-linkedin:hover,.util-bar-btn-linkedin.active {\r\n color: #4875b4\r\n}\r\n\r\n.util-bar-secondary-modules {\r\n position: fixed;\r\n bottom: 0;\r\n border: 0\r\n}\r\n\r\n@media only screen and (max-height: 500px) {\r\n .utility-bar {\r\n padding-top:50px\r\n }\r\n \r\n .util-bar-primary-modules {\r\n border-bottom: 0;\r\n box-shadow: none;\r\n padding-bottom: 0\r\n }\r\n \r\n .util-bar-secondary-modules {\r\n position: static;\r\n border-bottom: 1px solid #e1e1e1;\r\n box-shadow: inset 0 -1px 0 0 rgba(0,0,0,.15);\r\n padding-bottom: 1px\r\n }\r\n}\r\n\r\n.util-bar-btn:before {\r\n background-image: url(../../images/components/utility-contribute-new-sprite.png);\r\n content: '';\r\n display: block;\r\n margin: 6px auto;\r\n position: relative\r\n}\r\n\r\n.util-bar-btn-facebook:before {\r\n background-position: -281px -96px;\r\n height: 19px;\r\n left: 1px;\r\n margin: 5px auto 4px;\r\n width: 12px\r\n}\r\n\r\n.util-bar-btn-twitter:before {\r\n background-position: -10px -63px;\r\n height: 17px;\r\n left: 1px;\r\n margin: 5px auto 3px;\r\n width: 19px\r\n}\r\n\r\n.util-bar-btn-linkedin:before {\r\n background-position: -67px -29px;\r\n height: 17px;\r\n left: 1px;\r\n margin: 5px auto 3px;\r\n width: 19px\r\n}\r\n\r\n.util-bar-btn-email:before {\r\n background-position: -250px -92px;\r\n height: 17px;\r\n width: 18px\r\n}\r\n\r\n.util-bar-btn-comments:before {\r\n background-position: -189px -5px;\r\n height: 19px;\r\n margin: 5px auto 3px;\r\n width: 18px\r\n}\r\n\r\n.util-bar-module-firefly {\r\n display: none\r\n}\r\n\r\n.util-bar-module-firefly.util-bar-module-firefly-visible {\r\n display: block\r\n}\r\n\r\n.util-bar-btn-firefly:before {\r\n background-position: -309px -9px;\r\n height: 10px;\r\n margin: 5px auto 3px;\r\n width: 20px\r\n}\r\n\r\n.util-bar-btn-print:before {\r\n background-position: -160px -6px;\r\n height: 17px;\r\n width: 18px\r\n}\r\n\r\n.util-bar-flyout {\r\n background: #fff;\r\n cursor: default;\r\n left: -1000px;\r\n position: absolute;\r\n top: 0;\r\n visibility: hidden\r\n}\r\n\r\n.util-bar-flyout.open {\r\n left: 40px;\r\n border-bottom: 1px solid #acacb6;\r\n bottom: 0;\r\n background: #e8e8e8 url(../../images/components/utility-bar-active-noise.png) repeat;\r\n box-shadow: 3px 0 3px 0 rgba(0,0,0,.2);\r\n display: block;\r\n top: -80px;\r\n visibility: visible\r\n}\r\n\r\n.util-bar-flyout-heading {\r\n padding: 10px 15px 0;\r\n border-bottom: 1px solid rgba(187,189,191,.5)\r\n}\r\n\r\n.util-bar-flyout-title {\r\n font-family: 'Futura Today';\r\n font-size: 17px;\r\n color: #333;\r\n text-transform: uppercase;\r\n margin: 0 10px 0 0;\r\n padding: 0;\r\n text-shadow: 0 1px 0 #fff\r\n}\r\n\r\n.util-bar-flyout-subtitle {\r\n font-size: 12px;\r\n line-height: 16px;\r\n margin: 0 35px 9px 0;\r\n color: gray;\r\n color: rgba(0,0,0,.5)\r\n}\r\n\r\n.util-bar-flyout-close-ribbon {\r\n background: url(../../images/components/pop-up-close-ribbon.png) no-repeat;\r\n color: #fff;\r\n display: block;\r\n font: 700 12px arial,sans-serif;\r\n height: 31px;\r\n padding: 0 0 8px;\r\n position: absolute;\r\n right: -11px;\r\n top: 10px;\r\n width: 46px;\r\n z-index: 12\r\n}\r\n\r\n.util-bar-flyout-close-ribbon:hover {\r\n cursor: pointer;\r\n text-decoration: underline\r\n}\r\n\r\n.util-bar-flyout-close-ribbon:before {\r\n background: transparent url(../../images/modules/live-feed/sprite.png) -79px -146px no-repeat;\r\n content: '';\r\n display: block;\r\n height: 21px;\r\n position: absolute;\r\n width: 20px;\r\n top: 6px;\r\n left: 14px\r\n}\r\n\r\n.util-bar-flyout-close-ribbon:hover:before {\r\n background-position: -60px -146px\r\n}\r\n\r\n.util-bar-flyout-pane {\r\n padding: 0 0 15px\r\n}\r\n\r\n.util-bar-flyout-comments {\r\n width: 456px\r\n}\r\n\r\n.util-bar-flyout-gradient-overlay {\r\n width: 410px;\r\n height: 20px;\r\n background: url(../../images/components/gradient-overlay.png) repeat-x;\r\n z-index: 10;\r\n position: absolute;\r\n margin-left: 15px\r\n}\r\n\r\n.util-bar-scroll-wrap {\r\n overflow: hidden;\r\n height: 2000px;\r\n position: relative\r\n}\r\n\r\n.util-bar-scroll-wrap-comments {\r\n background-color: #fff\r\n}\r\n\r\n.util-bar-comments-wrap {\r\n overflow: hidden;\r\n background-color: #fff;\r\n position: relative;\r\n padding-bottom: 0;\r\n margin: 15px;\r\n border-radius: 2px;\r\n box-shadow: 0 1px 5px 2px rgba(0,0,0,.15);\r\n padding: 5px 0;\r\n -webkit-overflow-scrolling: touch\r\n}\r\n\r\n.facebook-comments-plugin-wrap {\r\n background-color: #fff;\r\n padding: 2px 0 2px 7px\r\n}\r\n\r\n.util-bar-scroll-wrap:before {\r\n bottom: 0;\r\n content: '';\r\n display: block;\r\n left: 0;\r\n height: 20px;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 10\r\n}\r\n\r\n.util-bar-flyout-share {\r\n display: none;\r\n width: 320px\r\n}\r\n\r\n.util-bar-flyout-firefly {\r\n text-align: center;\r\n width: 300px\r\n}\r\n\r\n.util-bar-flyout-firefly-inner-wrap {\r\n position: relative;\r\n top: 10px\r\n}\r\n\r\n.util-bar-flyout-firefly-title {\r\n font-family: 'Futura Today Bold';\r\n font-size: 14px;\r\n margin: 15px 30px;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.util-bar-flyout-firefly-title-large {\r\n font-size: 18px\r\n}\r\n\r\n.util-bar-flyout-firefly-subscribe-meta {\r\n color: #8e8e8e;\r\n font-size: 15px;\r\n margin: 0 20px 15px\r\n}\r\n\r\n.util-bar-flyout-firefly-subscribe-btn {\r\n font-size: 18px;\r\n line-height: 38px;\r\n margin-bottom: 15px\r\n}\r\n\r\n.util-bar-flyout-firefly-auth-wrap,.util-bar-flyout-firefly-ad {\r\n border-top: 1px solid #d4d4d4;\r\n margin-top: 10px;\r\n padding: 20px 0;\r\n position: relative;\r\n text-align: left\r\n}\r\n\r\n.util-bar-module-firefly-authenticated .util-bar-flyout-firefly-auth-wrap {\r\n display: none\r\n}\r\n\r\n.util-bar-flyout-firefly-auth-wrap:before,.util-bar-flyout-firefly-ad:before {\r\n background: #f9f9f9;\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n height: 1px;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.util-bar-flyout-firefly-sign-in-link {\r\n border-right: 1px solid #d4d4d4;\r\n display: block;\r\n float: left;\r\n font-size: 14px;\r\n margin-right: 15px;\r\n padding: 6px 17px\r\n}\r\n\r\n.util-bar-flyout-firefly-activate-description {\r\n color: #7a7a7a;\r\n font-size: 12px\r\n}\r\n\r\n.util-bar-flyout-firefly-activate-link {\r\n display: block;\r\n font-size: 12px\r\n}\r\n\r\n.util-bar-flyout-firefly-ad {\r\n text-align: center\r\n}\r\n\r\n.util-bar-flyout-nav {\r\n float: left;\r\n margin: 20px 10px 10px 9px;\r\n width: 100%\r\n}\r\n\r\n.util-bar-flyout-nav-btn-wrap {\r\n border-right: 1px solid #fff;\r\n box-shadow: inset -1px 0 0 0 silver;\r\n float: left;\r\n height: 20px;\r\n width: 44px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-wrap:last-child {\r\n border: 0;\r\n box-shadow: none\r\n}\r\n\r\n.util-bar-flyout-nav-btn {\r\n border-radius: 2px;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n border: 1px solid #e8e8e8;\r\n cursor: pointer;\r\n display: block;\r\n height: 30px;\r\n margin: 0 6px;\r\n outline: 0;\r\n overflow: hidden;\r\n position: relative;\r\n text-indent: 105%;\r\n top: -5px;\r\n width: 30px\r\n}\r\n\r\n.util-bar-flyout-nav-btn:hover {\r\n background-color: #fff;\r\n border: 1px solid silver\r\n}\r\n\r\n.util-bar-flyout-nav-btn.active,.util-bar-flyout-nav-btn.active:hover {\r\n background-color: #3a3a3a;\r\n border: 0;\r\n box-shadow: inset -1px 0 0 #0c0c0c,inset 2px 0 0 #0c0c0c\r\n}\r\n\r\n.util-bar-flyout-nav-btn:before {\r\n background-image: url(../../images/components/utility-contribute-new-sprite.png);\r\n content: '';\r\n display: block;\r\n margin: 8px auto 20px;\r\n position: relative\r\n}\r\n\r\n.util-bar-flyout-nav-btn-facebook:before {\r\n background-position: -285px -35px;\r\n height: 15px;\r\n top: -1px;\r\n width: 8px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-facebook.active:before {\r\n background-position: -285px -7px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-email:before {\r\n background-position: -250px -36px;\r\n height: 12px;\r\n left: -1px;\r\n width: 14px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-email.active:before {\r\n background-position: -250px -8px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-twitter:before {\r\n background-position: -14px -9px;\r\n height: 14px;\r\n left: 1px;\r\n width: 15px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-googleplus:before {\r\n background-position: -41px -9px;\r\n height: 16px;\r\n left: 2px;\r\n top: -1px;\r\n width: 17px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-linkedin:before {\r\n background-position: -72px -10px;\r\n height: 12px;\r\n top: 1px;\r\n width: 11px\r\n}\r\n\r\n.util-bar-flyout-nav-btn-pinterest:before {\r\n background-position: -344px -9px;\r\n height: 15px;\r\n top: 0;\r\n width: 15px\r\n}\r\n\r\n.util-bar-share-summary {\r\n clear: both;\r\n padding: 11px 15px 5px\r\n}\r\n\r\n.util-bar-share-summary-image {\r\n border: 3px solid #fff;\r\n box-shadow: 0 1px 5px 0 rgba(0,0,0,.2);\r\n float: left;\r\n margin: 0 10px 5px 0\r\n}\r\n\r\n.util-bar-share-summary-title {\r\n text-shadow: 0 1px 0 rgba(255,255,255,.7);\r\n font-size: 14px;\r\n color: #333;\r\n line-height: 19px;\r\n margin: 0 0 2px\r\n}\r\n\r\n.util-bar-share-summary-description {\r\n font-size: 12px;\r\n color: #767676;\r\n line-height: 16px;\r\n margin: 2px 0\r\n}\r\n\r\n.util-bar-flyout-section {\r\n clear: both\r\n}\r\n\r\n.util-bar-flyout-input {\r\n margin: 4px 15px 0;\r\n width: 291px\r\n}\r\n\r\n.util-bar-flyout-input.error {\r\n border: 1px solid red;\r\n outline: 0\r\n}\r\n\r\n.util-bar-flyout-input:focus,.util-bar-flyout-textarea:focus {\r\n color: #000\r\n}\r\n\r\n.util-bar-flyout-textarea {\r\n height: 200px;\r\n margin: 4px 15px 5px;\r\n width: 290px\r\n}\r\n\r\n.util-bar-flyout-input-accept-terms {\r\n display: none\r\n}\r\n\r\n.util-bar-flyout-share-email-cta {\r\n margin: 0 15px\r\n}\r\n\r\n.util-bar-email-cancel-btn {\r\n margin-right: 15px\r\n}\r\n\r\n.util-bar-share-status-text-facebook {\r\n color: #545454;\r\n font-size: 11px;\r\n line-height: 12px;\r\n vertical-align: top;\r\n display: inline-block;\r\n width: 150px\r\n}\r\n\r\n.util-bar-share-loading-facebook {\r\n display: none;\r\n margin: 6px 0 0 3px\r\n}\r\n\r\n.util-bar-share-submit-btn-facebook {\r\n background: #637bad;\r\n background: -moz-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#637bad),color-stop(100%,#5972a7));\r\n background: -webkit-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -o-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -ms-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: linear-gradient(to bottom,#637bad 0,#5972a7 100%);\r\n border: 1px solid #29447e;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.2);\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n float: right;\r\n font-family: 'lucida grande',tahoma,verdana,arial,sans-serif;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 13px;\r\n margin: 4px 4px 4px 0;\r\n padding: 4px 12px 5px\r\n}\r\n\r\n.util-bar-share-submit-wrap-facebook {\r\n background: #f2f2f2;\r\n border: 1px solid #c4c4c4;\r\n margin: 0 15px 10px;\r\n overflow: hidden\r\n}\r\n\r\n.util-bar-share-form-status-facebook {\r\n color: #545454;\r\n font-size: 11px;\r\n line-height: 12px;\r\n vertical-align: top;\r\n display: inline-block;\r\n width: 150px;\r\n margin: 4px 0 0 5px\r\n}\r\n\r\n.util-bar-flyout-pane-success {\r\n display: none;\r\n padding: 30px 50px;\r\n text-align: center\r\n}\r\n\r\n.util-bar-success-title {\r\n font-size: 20px;\r\n margin: 0\r\n}\r\n\r\n.util-bar-success-title:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 0 0;\r\n content: '';\r\n display: block;\r\n height: 71px;\r\n margin: 0 auto;\r\n width: 71px\r\n}\r\n\r\n.util-bar-success-description {\r\n color: #666;\r\n font-size: 14px\r\n}\r\n\r\n@font-face {\r\n font-family: 'Futura Today';\r\n src: url(../../fonts/futura_today_normal.eot);\r\n src: url(../../fonts/futura_today_normal.eot?#iefix) format('embedded-opentype'),url(../../fonts/futura_today_normal.woff) format('woff'),url(../../fonts/futura_today_normal.ttf) format('truetype');\r\n font-style: normal\r\n}\r\n\r\n@font-face {\r\n font-family: 'Futura Today DemiBold';\r\n src: url(../../fonts/futura_today_demibold.eot);\r\n src: url(../../fonts/futura_today_demibold.eot?#iefix) format('embedded-opentype'),url(../../fonts/futura_today_demibold.woff) format('woff'),url(../../fonts/futura_today_demibold.ttf) format('truetype');\r\n font-style: normal\r\n}\r\n\r\n@font-face {\r\n font-family: 'Futura Today Bold';\r\n src: url(../../fonts/futura_today_bold.eot);\r\n src: url(../../fonts/futura_today_bold.eot?#iefix) format('embedded-opentype'),url(../../fonts/futura_today_bold.woff) format('woff'),url(../../fonts/futura_today_bold.ttf) format('truetype');\r\n font-style: normal\r\n}\r\n\r\n@font-face {\r\n font-family: 'Futura Today Light';\r\n src: url(../../fonts/futura_today_light.eot);\r\n src: url(../../fonts/futura_today_light.eot?#iefix) format('embedded-opentype'),url(../../fonts/futura_today_light.woff) format('woff'),url(../../fonts/futura_today_light.ttf) format('truetype');\r\n font-style: light\r\n}\r\n\r\n@font-face {\r\n font-family: 'Gannett Icons';\r\n src: url(../../fonts/gannett-icons.eot);\r\n src: url(../../fonts/gannett-icons.eot?#iefix) format('embedded-opentype'),url(../../fonts/gannett-icons.ttf) format('truetype'),url(../../fonts/gannett-icons.woff) format('woff');\r\n font-weight: 400;\r\n font-style: normal\r\n}\r\n\r\n#ad-staging {\r\n display: none\r\n}\r\n\r\n.partner-placement {\r\n display: none\r\n}\r\n\r\n.ad-slot>iframe {\r\n display: block\r\n}\r\n\r\n.partner-close {\r\n background: transparent url(../../images/buttons/button-close.png) no-repeat 0 0;\r\n height: 47px;\r\n position: absolute;\r\n right: 0;\r\n top: 5px;\r\n width: 44px;\r\n z-index: 98\r\n}\r\n\r\n.ui-flip-panel>.partner-close {\r\n display: none\r\n}\r\n\r\n.ui-flip-panel.active>.partner-close {\r\n display: block\r\n}\r\n\r\n.ui-page-turn>.partner-close {\r\n display: none\r\n}\r\n\r\n.ui-page-turn.active>.partner-close {\r\n display: block\r\n}\r\n\r\n.partner-close:hover {\r\n background-position: 0 -47px\r\n}\r\n\r\n.partner-close:active {\r\n background-position: 0 -94px\r\n}\r\n\r\n.partner-placement.elastic .container a.close-partner {\r\n right: -27px;\r\n top: -20px\r\n}\r\n\r\n.transition-wrap.partner-inbetween {\r\n display: none;\r\n margin: 0\r\n}\r\n\r\n.partner-overlay {\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n position: fixed;\r\n top: 0;\r\n width: 100%;\r\n z-index: 111\r\n}\r\n\r\n.partner-overlay-close {\r\n right: -26px;\r\n top: -22px\r\n}\r\n\r\n.partner-overlay>.film {\r\n opacity: .85;\r\n filter: alpha(opacity=85)\r\n}\r\n\r\n.partner-overlay.withAsset>.film {\r\n opacity: .75;\r\n filter: alpha(opacity=75)\r\n}\r\n\r\n.partner-overlay>.partner-placement {\r\n box-shadow: 0 0 12px 0 rgba(0,0,0,.75);\r\n display: block;\r\n height: 700px;\r\n left: 50%;\r\n margin: 0 0 0 -468px;\r\n position: absolute;\r\n top: 80px;\r\n width: 936px;\r\n z-index: 8002\r\n}\r\n\r\n.partner-overlay>.partner-placement.size-s {\r\n height: 450px;\r\n margin: 0 0 0 -300px;\r\n width: 600px\r\n}\r\n\r\n.partner-overlay>.partner-placement.size-m {\r\n height: 576px;\r\n margin: 0 0 0 -384px;\r\n width: 768px\r\n}\r\n\r\n.partner-overlay>.partner-placement.size-xl {\r\n height: 810px;\r\n margin: 0 0 0 -540px;\r\n width: 1080px\r\n}\r\n\r\n.partner-overlay>.partner-placement .container {\r\n z-index: 8003\r\n}\r\n\r\n.sports .partner-placement.leaderboard {\r\n background: #dedede url(../../images/patterns/sports-ad-noise.png) repeat bottom left;\r\n border-left: 1px solid #c2c2c2;\r\n border-right: 1px solid #c2c2c2;\r\n display: none;\r\n height: 90px;\r\n padding: 15px 0\r\n}\r\n\r\n.sports .partner-placement.leaderboard>div {\r\n margin: 0 auto\r\n}\r\n\r\n.partner-coverview-contents {\r\n height: 100%;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.partner-asset-ad {\r\n background: transparent no-repeat top center;\r\n bottom: 0;\r\n left: 0;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n z-index: 101\r\n}\r\n\r\n.partner-asset-rails {\r\n left: 50%;\r\n margin-left: -490px;\r\n position: absolute;\r\n top: 0;\r\n width: 980px\r\n}\r\n\r\n.partner-asset-rails-img {\r\n position: absolute;\r\n top: 65px\r\n}\r\n\r\n.partner-asset-rails-img-left {\r\n left: -150px\r\n}\r\n\r\n.partner-asset-rails-img-right {\r\n right: -150px\r\n}\r\n\r\narticle.static-page {\r\n padding-bottom: 0\r\n}\r\n\r\narticle.static-page>header>h6 {\r\n background: #0099e5;\r\n color: #fff;\r\n margin: 0;\r\n padding: 10px 0 10px 30px;\r\n text-align: left;\r\n text-transform: none;\r\n width: 100%\r\n}\r\n\r\narticle.asset.static-page>header>nav {\r\n background: #d8d8d8;\r\n background: rgba(0,0,0,.2);\r\n border-bottom: 2px solid #f2f2f2;\r\n border-bottom: 2px solid rgba(0,0,0,.05);\r\n height: 37px;\r\n margin: 0;\r\n padding: 0 30px 0 0;\r\n width: 100%;\r\n z-index: 10\r\n}\r\n\r\narticle.asset.static-page>header>nav ul li {\r\n display: inline;\r\n padding: 0\r\n}\r\n\r\narticle.asset.static-page>header>nav ul li a {\r\n color: #666;\r\n float: left;\r\n font-size: 13px;\r\n font-weight: 700;\r\n padding: 10px 20px;\r\n text-shadow: 0 1px 1px #fff\r\n}\r\n\r\narticle.asset.static-page>header>nav ul li a:hover,article.asset.static-page>header>nav ul li.active a {\r\n background: #e6e6e6;\r\n background: rgba(0,0,0,.1);\r\n color: #333\r\n}\r\n\r\narticle.static-page .page-content {\r\n width: 920px\r\n}\r\n\r\narticle.static-page .page-content .content-wrap {\r\n float: left;\r\n margin-left: 30px;\r\n padding-bottom: 30px;\r\n width: 560px\r\n}\r\n\r\narticle.static-page.full-width .page-content .content-wrap {\r\n width: 920px\r\n}\r\n\r\n.static-page .content-wrap li {\r\n font-size: 14px;\r\n line-height: 20px;\r\n margin: 0 0 15px 30px\r\n}\r\n\r\narticle.static-page .right-rail {\r\n float: right;\r\n margin: 20px 0;\r\n width: 320px\r\n}\r\n\r\narticle.static-page.full-width .right-rail {\r\n display: none\r\n}\r\n\r\narticle.asset.static-page footer {\r\n float: right;\r\n margin: 0 -30px 0 0;\r\n padding: 0 20px;\r\n width: 940px\r\n}\r\n\r\narticle.asset.static-page footer nav ul li {\r\n text-align: left\r\n}\r\n\r\narticle.asset.static-page footer .utility {\r\n display: none\r\n}\r\n\r\narticle.asset.static-page .footer {\r\n width: 940px\r\n}\r\n\r\narticle.asset.static-page .footer>nav>.fronts {\r\n width: 940px\r\n}\r\n\r\narticle.asset.static-page .footer>p.site-index {\r\n margin-bottom: 10px\r\n}\r\n\r\narticle.asset.static-page .footer>nav>.fronts {\r\n width: 860px\r\n}\r\n\r\narticle.asset.static-page .footer>nav>.fronts>section {\r\n width: 140px\r\n}\r\n\r\narticle.static-page h1,article.static-page h2,article.static-page h3,article.static-page h4,article.static-page h5,article.static-page p {\r\n margin: 15px 0\r\n}\r\n\r\narticle.static-page .page-content .source {\r\n font-size: 12px;\r\n line-height: 16px;\r\n font-style: italic\r\n}\r\n\r\narticle.static-page .credits {\r\n margin-bottom: 20px\r\n}\r\n\r\narticle.static-page .credits p {\r\n border: 0;\r\n margin-top: 10px\r\n}\r\n\r\narticle.asset.static-page h1 {\r\n font-size: 35px;\r\n margin: 0 0 10px;\r\n padding: 30px 0 0 30px\r\n}\r\n\r\narticle.static-page h2 {\r\n font-size: 18px;\r\n line-height: 24px;\r\n margin: 25px 0 10px;\r\n padding: 0\r\n}\r\n\r\narticle.static-page h3 {\r\n font-size: 14px;\r\n line-height: 18px;\r\n margin: 25px 0 2px;\r\n padding: 0\r\n}\r\n\r\narticle.static-page h3.site-footer-link-list-heading {\r\n font-size: inherit;\r\n line-height: inherit;\r\n margin: inherit;\r\n padding: inherit\r\n}\r\n\r\narticle.static-page .page-content p {\r\n font-size: 14px;\r\n line-height: 20px\r\n}\r\n\r\narticle.static-page .page-content .divider {\r\n border-top: 1px dotted #cbcbcb;\r\n clear: both\r\n}\r\n\r\narticle.static-page nav ul,article.static-page nav ol {\r\n margin-left: 30px\r\n}\r\n\r\narticle.static-page nav ul.site-footer-list,article.static-page nav ol.site-footer-list {\r\n margin-left: 0\r\n}\r\n\r\narticle.static-page .page-content ul,article.static-page .page-content ol {\r\n margin: 15px 0 0\r\n}\r\n\r\narticle.static-page .contactus-tabs ul {\r\n margin-left: 0\r\n}\r\n\r\narticle.static-page dl {\r\n background: #fff;\r\n border-radius: 20px;\r\n box-shadow: 0 1px 3px rgba(0,0,0,.25);\r\n padding: 10px 15px 10px 30px;\r\n position: relative\r\n}\r\n\r\narticle.static-page dl:before {\r\n color: #47b4ff;\r\n content: '+';\r\n font-size: 35px;\r\n left: 5px;\r\n position: absolute;\r\n top: -4px\r\n}\r\n\r\narticle.static-page dl.open:before {\r\n content: '–';\r\n top: -8px\r\n}\r\n\r\narticle.static-page dl dt {\r\n cursor: pointer;\r\n font-size: 13px;\r\n line-height: 22px\r\n}\r\n\r\narticle.static-page dl dd {\r\n border-top: 2px solid #e5e5e5;\r\n display: none;\r\n margin: 0;\r\n margin-top: 9px\r\n}\r\n\r\narticle.static-page dl.open dd {\r\n display: block\r\n}\r\n\r\narticle.static-page dl dd p {\r\n font-size: 13px;\r\n line-height: 22px\r\n}\r\n\r\narticle.static-page dd:last-child p {\r\n margin-bottom: 0\r\n}\r\n\r\narticle.static-page .right-rail .ad img {\r\n border: 10px solid #e6e6e6;\r\n border: 10px solid rgba(0,0,0,.1);\r\n border-radius: 5px;\r\n box-shadow: 0 1px 2px rgba(0,0,0,.4);\r\n margin-bottom: 20px\r\n}\r\n\r\narticle.asset.static-page nav {\r\n margin: 0;\r\n padding: 0;\r\n width: 100%\r\n}\r\n\r\narticle.asset.static-page .footer>nav>.fronts {\r\n width: auto\r\n}\r\n\r\narticle.asset.static-page .comp.ribbon-module .light-shade,article.asset.static-page .comp.story-poll .light-shade {\r\n padding-bottom: 15px\r\n}\r\n\r\n.weather-nav-dropdown {\r\n color: #fff;\r\n font-family: Arial,sans-serif;\r\n cursor: default;\r\n overflow: hidden;\r\n position: absolute;\r\n background: #333;\r\n box-shadow: 0 3px 6px rgba(0,0,0,.7);\r\n line-height: normal;\r\n width: 240px;\r\n display: none;\r\n right: 0;\r\n top: 40px;\r\n outline: 0\r\n}\r\n\r\n.weather-nav-dropdown.dropdown-active {\r\n display: block\r\n}\r\n\r\n.weather-nav-dropdown-panel-wrap {\r\n width: 480px;\r\n height: 174px;\r\n position: relative;\r\n left: 0;\r\n top: 0;\r\n transition: left 200ms ease;\r\n -moz-transition: left 200ms ease;\r\n -webkit-transition: left 200ms ease;\r\n -o-transition: left 200ms ease\r\n}\r\n\r\n.weather-nav-dropdown.settings-active .weather-nav-dropdown-panel-wrap {\r\n left: -240px;\r\n height: auto;\r\n float: left\r\n}\r\n\r\n.weather-nav-conditions-panel {\r\n overflow: hidden;\r\n float: left;\r\n width: 204px;\r\n height: 134px;\r\n padding: 20px 18px;\r\n position: relative\r\n}\r\n\r\n.weather-nav-location-name {\r\n font-size: 16px;\r\n font-weight: 700;\r\n text-shadow: none;\r\n width: 176px;\r\n margin-bottom: 14px\r\n}\r\n\r\n.weather-nav-location-name.longname {\r\n font-size: 14px\r\n}\r\n\r\n.weather-nav-dropdown-time,.weather-nav-dropdown-wicon,.weather-nav-dropdown-temp {\r\n float: left\r\n}\r\n\r\n.weather-nav-dropdown-time {\r\n font-size: 12px;\r\n font-style: italic;\r\n height: 38px;\r\n width: 43px;\r\n text-align: left;\r\n position: relative;\r\n top: 2px;\r\n padding-top: 11px\r\n}\r\n\r\n.weather-nav-dropdown-temp {\r\n font-size: 48px;\r\n font-family: 'Futura Today Bold',Helvetica,sans-serif;\r\n width: 85px;\r\n line-height: 46px;\r\n float: right;\r\n text-align: right;\r\n height: 50px\r\n}\r\n\r\n.weather-nav-dropdown-temp.three-digit {\r\n font-size: 36px;\r\n line-height: 55px\r\n}\r\n\r\n.weather-nav-conditions-wrap {\r\n list-style: none;\r\n display: block;\r\n margin-top: 16px;\r\n float: left\r\n}\r\n\r\n.weather-nav-condition-humidity,.weather-nav-condition-precip,.weather-nav-condition-wind {\r\n text-align: center;\r\n text-shadow: none;\r\n width: 66px;\r\n font-size: 16px;\r\n font-weight: 700;\r\n float: left;\r\n display: block\r\n}\r\n\r\n.weather-nav-condition-wind {\r\n float: right\r\n}\r\n\r\n.weather-nav-condition-title,.weather-nav-condition-value {\r\n display: block\r\n}\r\n\r\n.weather-nav-condition-humidity .weather-nav-condition-title,.weather-nav-condition-humidity .weather-nav-condition-value {\r\n text-align: left\r\n}\r\n\r\n.weather-nav-condition-wind .weather-nav-condition-title,.weather-nav-condition-wind .weather-nav-condition-value {\r\n text-align: right\r\n}\r\n\r\n.weather-nav-condition-title {\r\n display: block;\r\n font-size: 12px;\r\n font-style: italic;\r\n font-weight: 400;\r\n margin-bottom: 4px\r\n}\r\n\r\n.weather-nav-dropdown-wicon {\r\n background-image: url(../../images/weather/wicon-sprite-big.png);\r\n background-repeat: no-repeat;\r\n text-indent: 100%;\r\n white-space: nowrap;\r\n overflow: hidden;\r\n width: 72px;\r\n height: 50px\r\n}\r\n\r\n.weather-nav-settings-panel {\r\n float: left;\r\n width: 200px;\r\n padding: 20px;\r\n position: relative;\r\n min-height: 134px\r\n}\r\n\r\n.weather-nav-settings-header {\r\n font-size: 16px;\r\n font-weight: 700;\r\n margin-bottom: 15px;\r\n text-shadow: none\r\n}\r\n\r\n.weather-nav-dropdown-settings-open-btn {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -260px 0;\r\n cursor: pointer;\r\n height: 20px;\r\n opacity: .8;\r\n position: absolute;\r\n right: 17px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: 18px;\r\n width: 20px\r\n}\r\n\r\n.weather-nav-dropdown-settings-open-btn:hover {\r\n opacity: 1;\r\n background-position: -260px -20px\r\n}\r\n\r\n.weather-nav-dropdown-settings-close-btn {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -20px 0;\r\n cursor: pointer;\r\n height: 20px;\r\n opacity: .8;\r\n position: absolute;\r\n right: 20px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: 20px;\r\n width: 21px\r\n}\r\n\r\n.weather-nav-dropdown-settings-close-btn:hover {\r\n opacity: 1;\r\n background-position: -20px -20px\r\n}\r\n\r\n.weather-nav-location-input-wrap:before {\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n width: 16px;\r\n height: 0;\r\n overflow: hidden;\r\n padding-top: 16px;\r\n left: 8px;\r\n top: 7px;\r\n background: transparent url(../../images/modules/forms/forms-sprite2.png) -69px -7px no-repeat;\r\n z-index: 9\r\n}\r\n\r\n.weather-nav-location-input-label {\r\n color: #b3b3b3;\r\n font-size: 13px;\r\n font-weight: 700;\r\n margin: 0 0 8px;\r\n text-shadow: none;\r\n display: block;\r\n cursor: default\r\n}\r\n\r\n.weather-nav-location-input {\r\n padding-left: 26px;\r\n margin-bottom: 10px;\r\n outline: 0;\r\n font-weight: 700;\r\n width: 100%\r\n}\r\n\r\n.lt-ie9 .weather-nav-location-input {\r\n padding-left: 9px;\r\n padding-top: 6px\r\n}\r\n\r\n.weather-nav-location-set-btn,.weather-nav-location-cancel-btn {\r\n padding-left: 26px\r\n}\r\n\r\n.weather-nav-location-set-btn {\r\n display: inline-block;\r\n float: right\r\n}\r\n\r\n.weather-nav-location-cancel-btn {\r\n display: inline-block\r\n}\r\n\r\n.weather-nav-location-set-btn:before,.weather-nav-location-cancel-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n top: 10px;\r\n left: 10px;\r\n width: 12px;\r\n height: 14px;\r\n margin-right: 5px;\r\n overflow: hidden\r\n}\r\n\r\n.weather-nav-location-set-btn:before {\r\n background-position: -324px -64px\r\n}\r\n\r\n.weather-nav-location-set-btn:hover:before {\r\n background-position: -324px -44px\r\n}\r\n\r\n.weather-nav-location-cancel-btn:before {\r\n background-position: -5px -6px\r\n}\r\n\r\n.weather-nav-location-cancel-btn:hover:before {\r\n background-position: -5px -6px\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-content {\r\n position: relative;\r\n top: -8px;\r\n padding-top: 0\r\n}\r\n\r\n.weather-nav-location-form .ui-form-field-container {\r\n margin-bottom: 8px\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-result-item:first-child {\r\n border-top-left-radius: 0;\r\n border-top-right-radius: 0\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-result-item:first-child:before {\r\n background: transparent\r\n}\r\n\r\n.weather-nav-location-error {\r\n color: #ffc000;\r\n display: none;\r\n font-size: 11px;\r\n font-weight: 700\r\n}\r\n\r\n.weather-nav-full-forecast-btn {\r\n clear: both;\r\n text-align: center;\r\n text-shadow: none;\r\n background: #222;\r\n background: rgba(0,0,0,.11);\r\n border-top: 1px solid #404040;\r\n display: block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n color: #fff;\r\n text-decoration: none;\r\n transition: background-color .4s;\r\n -moz-transition: background-color .4s;\r\n -webkit-transition: background-color .4s;\r\n -o-transition: background-color .4s;\r\n padding: 14px 0;\r\n height: 12px;\r\n width: 100%;\r\n text-transform: none\r\n}\r\n\r\n.weather-nav-full-forecast-btn:visited {\r\n color: #fff\r\n}\r\n\r\n.weather-nav-full-forecast-btn:hover {\r\n background: #000;\r\n background: rgba(0,0,0,.3);\r\n color: #fff;\r\n text-decoration: underline\r\n}\r\n\r\n.weather-nav-full-forecast-btn:active {\r\n color: #029ae6\r\n}\r\n\r\n.weather-nav-full-forecast-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -128px -7px;\r\n content: '';\r\n display: inline-block;\r\n height: 8px;\r\n margin: 0 7px 0 0;\r\n width: 4px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-1 {\r\n background-position: 0 0\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-2 {\r\n background-position: 0 -50px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-3 {\r\n background-position: 0 -100px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-4 {\r\n background-position: 0 -150px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-5 {\r\n background-position: 0 -200px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-6 {\r\n background-position: 0 -250px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-7 {\r\n background-position: 0 -300px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-8 {\r\n background-position: 0 -350px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-11 {\r\n background-position: 0 -400px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-12 {\r\n background-position: 0 -450px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-13 {\r\n background-position: 0 -500px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-14 {\r\n background-position: 0 -550px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-15 {\r\n background-position: 0 -600px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-16 {\r\n background-position: 0 -650px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-17 {\r\n background-position: 0 -700px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-18 {\r\n background-position: 0 -750px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-19 {\r\n background-position: 0 -800px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-20 {\r\n background-position: 0 -850px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-21 {\r\n background-position: 0 -900px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-22 {\r\n background-position: 0 -950px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-23 {\r\n background-position: 0 -1000px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-24 {\r\n background-position: 0 -1050px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-25 {\r\n background-position: 0 -1100px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-26 {\r\n background-position: 0 -1150px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-29 {\r\n background-position: 0 -1200px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-30 {\r\n background-position: 0 -1250px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-31 {\r\n background-position: 0 -1300px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-32 {\r\n background-position: 0 -1350px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-33 {\r\n background-position: 0 -1400px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-34 {\r\n background-position: 0 -1450px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-35 {\r\n background-position: 0 -1500px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-36 {\r\n background-position: 0 -1550px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-37 {\r\n background-position: 0 -1600px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-38 {\r\n background-position: 0 -1650px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-39 {\r\n background-position: 0 -1700px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-40 {\r\n background-position: 0 -1750px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-41 {\r\n background-position: 0 -1800px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-42 {\r\n background-position: 0 -1850px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-43 {\r\n background-position: 0 -1900px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-44 {\r\n background-position: 0 -1950px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.mostly-clear {\r\n background-position: 0 -1450px\r\n}\r\n\r\n.wbtn-wrap-wicon:before {\r\n background-image: url(../../images/weather/wicon-sprite-med.png);\r\n background-repeat: no-repeat;\r\n -webkit-backface-visibility: hidden;\r\n content: '';\r\n display: inline-block;\r\n height: 20px;\r\n margin: 0 5px 0 0;\r\n position: relative;\r\n top: 6px;\r\n width: 30px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-1:before {\r\n background-position: 0 0\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-2:before {\r\n background-position: 0 -20px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-3:before {\r\n background-position: 0 -40px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-4:before {\r\n background-position: 0 -60px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-5:before {\r\n background-position: 0 -80px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-6:before {\r\n background-position: 0 -100px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-7:before {\r\n background-position: 0 -120px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-8:before {\r\n background-position: 0 -140px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-11:before {\r\n background-position: 0 -160px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-12:before {\r\n background-position: 0 -180px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-13:before {\r\n background-position: 0 -200px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-14:before {\r\n background-position: 0 -220px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-15:before {\r\n background-position: 0 -240px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-16:before {\r\n background-position: 0 -260px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-17:before {\r\n background-position: 0 -280px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-18:before {\r\n background-position: 0 -300px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-19:before {\r\n background-position: 0 -320px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-20:before {\r\n background-position: 0 -340px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-21:before {\r\n background-position: 0 -360px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-22:before {\r\n background-position: 0 -380px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-23:before {\r\n background-position: 0 -400px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-24:before {\r\n background-position: 0 -420px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-25:before {\r\n background-position: 0 -440px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-26:before {\r\n background-position: 0 -460px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-29:before {\r\n background-position: 0 -480px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-30:before {\r\n background-position: 0 -500px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-31:before {\r\n background-position: 0 -520px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-32:before {\r\n background-position: 0 -540px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-33:before {\r\n background-position: 0 -560px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-34:before {\r\n background-position: 0 -580px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-35:before {\r\n background-position: 0 -600px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-36:before {\r\n background-position: 0 -620px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-37:before {\r\n background-position: 0 -640px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-38:before {\r\n background-position: 0 -660px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-39:before {\r\n background-position: 0 -680px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-40:before {\r\n background-position: 0 -700px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-41:before {\r\n background-position: 0 -720px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-42:before {\r\n background-position: 0 -740px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-43:before {\r\n background-position: 0 -760px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-44:before {\r\n background-position: 0 -780px\r\n}\r\n\r\n.clst-ordered-items,.clst-unordered-items {\r\n list-style: none;\r\n list-style-image: none;\r\n margin: 0;\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.card-suspender .clst-ordered-items,.card-suspender .clst-unordered-items {\r\n border-bottom: 1px solid rgba(255,255,225,.14);\r\n border-top: 1px solid rgba(0,0,0,.15);\r\n overflow: hidden;\r\n width: 120px\r\n}\r\n\r\n.lt-ie9 .clst-ordered-items,.lt-ie9 .clst-unordered-items {\r\n display: block;\r\n padding-bottom: 2px;\r\n zoom: 1\r\n}\r\n\r\n.lt-ie9 .card-suspender .clst-ordered-items,.lt-ie9 .card-suspender .clst-unordered-items {\r\n background: transparent url(../../images/ie-borders/bottom-top-border.png) repeat-x bottom left;\r\n border: 0\r\n}\r\n\r\n.clst-item {\r\n border-bottom: 1px solid #d3d3d3;\r\n border-top: 1px solid #fff;\r\n position: relative\r\n}\r\n\r\n.weather .clst-item,.card-suspender .clst-item {\r\n border-bottom: 1px solid rgba(0,0,0,.15);\r\n border-top: 1px solid rgba(255,255,225,.14)\r\n}\r\n\r\n.lt-ie9 .clst-item {\r\n border: 0;\r\n display: block;\r\n zoom: 1\r\n}\r\n\r\n.lt-ie9 .card-suspender .clst-item,.lt-ie9 .card-suspender .clst-item {\r\n background: transparent url(../../images/ie-borders/bottom-top-border.png) repeat-x top left\r\n}\r\n\r\n.weather .clst-item-link-styles:hover,.card-suspender .clst-item-link-styles:hover {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.05);\r\n border-bottom-color: rgba(0,0,0,.05);\r\n border-top-color: rgba(0,0,0,.05);\r\n cursor: pointer\r\n}\r\n\r\n.lt-ie9 .weather .clst-item-link-styles:hover>.clst-suspender-link-headline,.lt-ie9 .card-suspender .clst-item:hover>.clst-suspender-link-headline {\r\n background: transparent url(../../images/pixels/pixel-black-5percent.png) repeat top left;\r\n cursor: pointer\r\n}\r\n\r\n.clst-item-link-styles.selected {\r\n background-color: rgba(0,0,0,.2);\r\n border-bottom: 0;\r\n border-top-color: rgba(0,0,0,.35)\r\n}\r\n\r\n.lt-ie9 .clst-item.selected {\r\n background: transparent url(../../images/pixels/pixel-black-20percent.png) repeat top left\r\n}\r\n\r\n.functional .clst-item-link-styles.selected:after {\r\n background: transparent url(../../images/notch.png) no-repeat 0 -9px;\r\n content: '';\r\n height: 16px;\r\n margin-top: -8px;\r\n position: absolute;\r\n right: 0;\r\n top: 50%;\r\n width: 10px\r\n}\r\n\r\n.card-suspender .clst-item {\r\n padding: 0\r\n}\r\n\r\n.card-suspender .clst-link {\r\n display: block;\r\n padding: 10px 12px 10px 15px\r\n}\r\n\r\n.clst-section-name .clst-link,.card-suspender .functional .clst-item {\r\n padding: 0\r\n}\r\n\r\n.card-suspender .functional .clst-link {\r\n padding: 20px 10px 20px 15px\r\n}\r\n\r\n.size-bar .clst-item,.size-bar .functional .clst-item {\r\n padding: 20px 10px 20px 15px\r\n}\r\n\r\n.clst-link,.clst-link:visited,.clst-link:active,.clst-link:hover,.game,.game:visited {\r\n color: inherit\r\n}\r\n\r\n.card-suspender .slst-header {\r\n color: #fff;\r\n display: block;\r\n font-size: 13px;\r\n font-weight: 700;\r\n line-height: 12px;\r\n margin: 0;\r\n padding: 20px 15px 15px;\r\n text-align: left;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.18);\r\n text-transform: uppercase\r\n}\r\n\r\n.card-suspender .clst-item {\r\n color: #fff;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 15px\r\n}\r\n\r\n.card-suspender .sub-section-navigation-suspender .clst-item-link-styles {\r\n font-size: 13px;\r\n line-height: 16px\r\n}\r\n\r\n.card-suspender .clst-group-header {\r\n color: #858585;\r\n color: rgba(255,255,255,.48);\r\n font-size: 11px;\r\n font-weight: 400;\r\n line-height: 11px;\r\n margin: 0;\r\n padding: 0 0 12px;\r\n text-align: center;\r\n text-transform: capitalize\r\n}\r\n\r\n.card-suspender .clst-group-header .clst-link {\r\n font-style: italic\r\n}\r\n\r\n.card-suspender .clst-group-headline {\r\n color: #fff;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 0;\r\n padding: 0;\r\n text-align: center;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.2)\r\n}\r\n\r\n.size-suspender .slst>.clst-ordered-items,.size-suspender .slst>.clst-unordered-items {\r\n border: 0\r\n}\r\n\r\n.size-suspender .slst .clst-item {\r\n border: 0;\r\n font-size: 12px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.18)\r\n}\r\n\r\n.clst-text-headline>.clst-link,.clst .headline>.clst-link {\r\n color: #333;\r\n font-family: Arial;\r\n font-size: 14px;\r\n font-weight: 700;\r\n line-height: 16px\r\n}\r\n\r\n.clst-text-headline>.clst-link:hover,.clst .headline>.clst-link:hover {\r\n color: #007dcf\r\n}\r\n\r\n.clst-text-meta {\r\n color: #999;\r\n font-size: 12px;\r\n line-height: 20px\r\n}\r\n\r\n.clst-text-meta>.clst-text-meta-timestamp {\r\n border-left: 1px solid #d9d9d9;\r\n border-left: 1px solid rgba(0,0,0,.15);\r\n display: inline-block;\r\n font-size: 11px;\r\n font-style: italic;\r\n margin-left: 10px;\r\n padding-left: 10px\r\n}\r\n\r\n.clst-text-meta>.clst-link {\r\n color: #007dcf;\r\n text-transform: capitalize;\r\n font-weight: 700\r\n}\r\n\r\n.clst-text-meta>.clst-link.parent-link {\r\n background: transparent;\r\n position: none;\r\n display: inline-block\r\n}\r\n\r\n.standard-app-map .leaflet-map-pane,.standard-app-map .leaflet-tile,.standard-app-map .leaflet-marker-icon,.standard-app-map .leaflet-marker-shadow,.standard-app-map .leaflet-tile-pane,.standard-app-map .leaflet-overlay-pane,.standard-app-map .leaflet-shadow-pane,.standard-app-map .leaflet-marker-pane,.standard-app-map .leaflet-popup-pane,.standard-app-map .leaflet-overlay-pane svg,.standard-app-map .leaflet-zoom-box,.standard-app-map .leaflet-image-layer {\r\n position: absolute\r\n}\r\n\r\n.standard-app-map .leaflet-container {\r\n overflow: hidden\r\n}\r\n\r\n.standard-app-map .leaflet-tile,.standard-app-map .leaflet-marker-icon,.standard-app-map .leaflet-marker-shadow {\r\n -moz-user-select: none;\r\n -webkit-user-select: none;\r\n user-select: none\r\n}\r\n\r\n.leaflet-marker-icon,.leaflet-marker-shadow {\r\n display: block\r\n}\r\n\r\n.leaflet-clickable {\r\n cursor: pointer\r\n}\r\n\r\n.leaflet-container img {\r\n max-width: none!important\r\n}\r\n\r\n.standard-app-map .leaflet-tile-pane {\r\n z-index: 2\r\n}\r\n\r\n.standard-app-map .leaflet-objects-pane {\r\n z-index: 3\r\n}\r\n\r\n.standard-app-map .leaflet-overlay-pane {\r\n z-index: 4\r\n}\r\n\r\n.standard-app-map .leaflet-shadow-pane {\r\n z-index: 5\r\n}\r\n\r\n.standard-app-map .leaflet-marker-pane {\r\n z-index: 6\r\n}\r\n\r\n.standard-app-map .leaflet-popup-pane {\r\n z-index: 7\r\n}\r\n\r\n.standard-app-map .leaflet-zoom-box {\r\n width: 0;\r\n height: 0\r\n}\r\n\r\n.standard-app-map .leaflet-tile {\r\n visibility: hidden\r\n}\r\n\r\n.standard-app-map .leaflet-tile-loaded {\r\n visibility: inherit\r\n}\r\n\r\n.standard-app-map a.leaflet-active {\r\n outline: 2px solid orange\r\n}\r\n\r\n.standard-app-map .leaflet-control {\r\n position: relative;\r\n z-index: 7\r\n}\r\n\r\n.standard-app-map .leaflet-top,.standard-app-map .leaflet-bottom {\r\n position: absolute\r\n}\r\n\r\n.standard-app-map .leaflet-top {\r\n top: 0\r\n}\r\n\r\n.standard-app-map .leaflet-right {\r\n right: 0\r\n}\r\n\r\n.standard-app-map .leaflet-bottom {\r\n bottom: 0\r\n}\r\n\r\n.standard-app-map .leaflet-left {\r\n left: 0\r\n}\r\n\r\n.standard-app-map .leaflet-control {\r\n clear: both;\r\n float: left\r\n}\r\n\r\n.standard-app-map .leaflet-right .leaflet-control {\r\n float: right\r\n}\r\n\r\n.standard-app-map .leaflet-top .leaflet-control {\r\n margin-top: 10px\r\n}\r\n\r\n.standard-app-map .leaflet-bottom .leaflet-control {\r\n margin-bottom: 10px\r\n}\r\n\r\n.standard-app-map .leaflet-left .leaflet-control {\r\n margin-left: 10px\r\n}\r\n\r\n.standard-app-map .leaflet-right .leaflet-control {\r\n margin-right: 10px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom,.leaflet-control-layers {\r\n border-radius: 7px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom {\r\n background: #bfbfbf;\r\n background: rgba(0,0,0,.25);\r\n padding: 5px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom a {\r\n background-color: #404040;\r\n background-color: rgba(255,255,255,.75)\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom a,.leaflet-control-layers a {\r\n background-position: 50% 50%;\r\n background-repeat: no-repeat;\r\n display: block\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom a {\r\n border-radius: 4px;\r\n height: 19px;\r\n width: 19px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom a:hover {\r\n background-color: #fff\r\n}\r\n\r\n.standard-app-map .leaflet-big-buttons .leaflet-control-zoom a {\r\n height: 27px;\r\n width: 27px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom-in,.standard-app-map .leaflet-control-zoom-out {\r\n background-image: url(../../images/modules/maps/zoom-in.png);\r\n margin-bottom: 5px\r\n}\r\n\r\n.standard-app-map .leaflet-control-zoom-out {\r\n background-image: url(../../images/modules/maps/zoom-out.png);\r\n margin-bottom: 0\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers {\r\n background: #f8f8f9;\r\n box-shadow: 0 0 7px #999\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers a {\r\n height: 36px;\r\n width: 36px\r\n}\r\n\r\n.standard-app-map .leaflet-big-buttons .leaflet-control-layers a {\r\n background-image: url(../../images/modules/maps/layers.png);\r\n height: 36px;\r\n width: 36px\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers .leaflet-control-layers-list,.standard-app-map .leaflet-control-layers-expanded .leaflet-control-layers-toggle {\r\n display: none\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers-expanded .leaflet-control-layers-list {\r\n display: block;\r\n position: relative\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers-expanded {\r\n background: #fff;\r\n color: #333;\r\n font: 12px/1.5 \"Helvetica Neue\",Arial,Helvetica,sans-serif;\r\n padding: 6px 10px 6px 6px\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers input {\r\n margin-top: 2px;\r\n position: relative;\r\n top: 1px\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers label {\r\n display: block\r\n}\r\n\r\n.standard-app-map .leaflet-control-layers-separator {\r\n border-top: 1px solid #ddd;\r\n height: 0;\r\n margin: 5px -10px 5px -6px\r\n}\r\n\r\n.standard-app-map .leaflet-container .leaflet-control-attribution {\r\n background-color: #fff;\r\n background-color: rgba(255,255,255,.7);\r\n box-shadow: 0 0 7px #ccc;\r\n color: #333;\r\n display: none;\r\n font: 11px/1.5 \"Helvetica Neue\",Arial,Helvetica,sans-serif;\r\n margin: 0;\r\n padding: 0 5px\r\n}\r\n\r\n.standard-app-map .leaflet-fade-anim .leaflet-tile {\r\n -moz-transition: opacity .2s linear;\r\n -o-transition: opacity .2s linear;\r\n opacity: 0;\r\n transition: opacity .2s linear\r\n}\r\n\r\n.standard-app-map .leaflet-fade-anim .leaflet-tile-loaded {\r\n opacity: 1\r\n}\r\n\r\n.standard-app-map .leaflet-fade-anim .leaflet-popup {\r\n -moz-transition: opacity .2s linear;\r\n -o-transition: opacity .2s linear;\r\n opacity: 0;\r\n transition: opacity .2s linear\r\n}\r\n\r\n.standard-app-map .leaflet-fade-anim .leaflet-map-pane .leaflet-popup {\r\n opacity: 1\r\n}\r\n\r\n.standard-app-map .leaflet-zoom-anim .leaflet-tile {\r\n -moz-transition: none;\r\n -o-transition: none;\r\n -webkit-transition: none;\r\n transition: none\r\n}\r\n\r\n.standard-app-map .leaflet-zoom-anim .leaflet-objects-pane {\r\n visibility: hidden\r\n}\r\n\r\n.standard-app-map .leaflet-popup {\r\n position: absolute;\r\n text-align: center\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content-wrapper {\r\n padding: 1px;\r\n text-align: left\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content {\r\n margin: 19px\r\n}\r\n\r\n.standard-app-map .leaflet-popup-tip-container {\r\n height: 16px;\r\n margin: 0 auto;\r\n overflow: hidden;\r\n position: relative;\r\n width: 40px\r\n}\r\n\r\n.standard-app-map .leaflet-popup-tip {\r\n -moz-transform: rotate(45deg);\r\n -ms-transform: rotate(45deg);\r\n -o-transform: rotate(45deg);\r\n -webkit-transform: rotate(45deg);\r\n height: 15px;\r\n margin: -8px auto 0;\r\n padding: 1px;\r\n transform: rotate(45deg);\r\n width: 15px\r\n}\r\n\r\n.standard-app-map .leaflet-popup-close-button {\r\n background: #fff url(../../images/modules/maps/popup-close.png);\r\n height: 10px;\r\n overflow: hidden;\r\n position: absolute;\r\n right: 9px;\r\n top: 9px;\r\n width: 10px\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content p {\r\n margin: 18px 0\r\n}\r\n\r\n.standard-app-map .leaflet-container {\r\n background: #ddd\r\n}\r\n\r\n.standard-app-map .leaflet-container a {\r\n color: #0078a8\r\n}\r\n\r\n.standard-app-map .leaflet-zoom-box {\r\n border: 2px dotted #05f;\r\n background: #fff;\r\n opacity: .5\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content-wrapper,.leaflet-popup-tip {\r\n background: #fff;\r\n box-shadow: 0 1px 10px #888\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content-wrapper {\r\n border-radius: 20px\r\n}\r\n\r\n.standard-app-map .leaflet-popup-content {\r\n font: 12px/1.4 \"Helvetica Neue\",Arial,Helvetica,sans-serif\r\n}\r\n\r\n.standard-app-map {\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%;\r\n height: 100%\r\n}\r\n\r\n.standard-app-map-location-label {\r\n background: #919191;\r\n border-bottom-right-radius: 3px;\r\n top: 0;\r\n color: #fff;\r\n font-size: 11px;\r\n left: 0;\r\n padding: 3px 6px;\r\n position: absolute\r\n}\r\n\r\n.standard-app-map-location-empty {\r\n background: #d5d5d5;\r\n display: table;\r\n height: 144px;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 440px\r\n}\r\n\r\n.standard-app-map-location-empty-text {\r\n color: #8b8b8b;\r\n display: table-cell;\r\n font-size: 13px;\r\n text-align: center;\r\n text-shadow: 0 1px 0 rgba(255,255,255,.7);\r\n font-weight: 700;\r\n vertical-align: middle\r\n}\r\n\r\n.video-wrapper {\r\n width: 560px;\r\n float: left\r\n}\r\n\r\n.content-wrap .asset .double-wide>.portrait {\r\n float: left;\r\n max-width: 170px;\r\n padding: 15px 10px\r\n}\r\n\r\n.content-wrap .asset .double-wide>.landscape {\r\n max-width: 100%;\r\n padding: 15px 10px\r\n}\r\n\r\n.fluid-width-video-wrapper {\r\n width: 100%;\r\n position: relative;\r\n padding: 15px 10px\r\n}\r\n\r\n.fluid-width-video-wrapper iframe,.fluid-width-video-wrapper object,.fluid-width-video-wrapper embed {\r\n position: absolute;\r\n top: 0;\r\n right: 0;\r\n width: 100%;\r\n height: 100%\r\n}\r\n\r\n.content-wrap .asset .double-wide>a,.content-wrap .asset .double-wide p>a {\r\n color: #1990e5\r\n}\r\n\r\n.content-wrap .asset .double-wide>a:hover,.content-wrap .asset .double-wide p>a:hover {\r\n text-decoration: underline\r\n}\r\n\r\n.corrections .left-column {\r\n float: left;\r\n width: 560px\r\n}\r\n\r\n.corrections .rule {\r\n border: 1px solid #e8e8e8;\r\n height: 0\r\n}\r\n\r\n.corrections ul.list {\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.corrections li.correction {\r\n list-style: none;\r\n margin: 0\r\n}\r\n\r\n.corrections li.correction.more {\r\n margin-bottom: 20px\r\n}\r\n\r\n.corrections li.correction .anchor {\r\n color: #333;\r\n font-size: 16px;\r\n font-weight: 700\r\n}\r\n\r\n.corrections li.correction .anchor:hover {\r\n color: #00adfb\r\n}\r\n\r\n.corrections li.correction>h3 {\r\n margin-bottom: 0\r\n}\r\n\r\n.corrections li.correction>p {\r\n font-size: 12px;\r\n margin: 2px 0 5px\r\n}\r\n\r\n.corrections li.correction .meta {\r\n font-size: 12px;\r\n margin: 0 0 20px;\r\n padding: 0\r\n}\r\n\r\n.corrections li.correction .meta>li {\r\n display: inline;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.corrections li.correction .meta li.section {\r\n border-right: 1px solid #e8e8e8;\r\n font-weight: 700;\r\n padding-right: 5px\r\n}\r\n\r\n.corrections li.correction .meta li.pubdate {\r\n color: #c8c8c8;\r\n font-size: 11px;\r\n font-style: italic;\r\n margin-left: 5px\r\n}\r\n\r\narticle.static-page .correction-sidebar h4 {\r\n margin-bottom: 5px;\r\n padding: 0 15px;\r\n text-align: left\r\n}\r\n\r\narticle.asset .comp.ribbon-module .light-shade.correction-sidebar p.small {\r\n color: #c8c8c8;\r\n font-size: 11px;\r\n font-style: italic;\r\n margin: 0 15px 10px;\r\n text-align: left\r\n}\r\n\r\narticle.asset .comp.ribbon-module .light-shade.correction-sidebar .contact-info {\r\n color: #333;\r\n list-style: none;\r\n margin: 0;\r\n padding: 0 30px 10px;\r\n text-align: left\r\n}\r\n\r\narticle.cards.stag.cannes-lions .stag-masthead {\r\n margin-top: -30px;\r\n padding: 0;\r\n text-align: center;\r\n height: 90px\r\n}\r\n\r\narticle.cards.stag.cannes-lions .stag-masthead h1 {\r\n line-height: 0;\r\n text-transform: uppercase;\r\n font: 700 54px 'futura today'\r\n}\r\n\r\narticle.cards.stag.cannes-lions .stag-masthead h1 img {\r\n position: relative;\r\n top: -5px\r\n}\r\n\r\narticle.cards.stag.colby-rasmus {\r\n background: url(http://www3.pictures.zimbio.com/gi/Colby+Rasmus+Toronto+Blue+Jays+v+Chicago+White+Jlzx1m9AcOKx.jpg) no-repeat right top fixed;\r\n background-size: 100% 100%\r\n}\r\n\r\n.asset #election-2012-ribbon.content-bar {\r\n overflow: visible\r\n}\r\n\r\n.asset #election-2012-ribbon {\r\n background: transparent url(../../images/patterns/paper-noise-bar.png) repeat top left;\r\n color: #999;\r\n margin-bottom: 0;\r\n margin-left: 40px;\r\n min-height: 49px;\r\n overflow: none;\r\n padding: 10px 0 0;\r\n position: relative;\r\n width: 940px\r\n}\r\n\r\n.asset .election-state-picker {\r\n float: left;\r\n margin: -8px 10px;\r\n position: absolute\r\n}\r\n\r\n.elections-2012 .stag-masthead .masthead-intro {\r\n color: #929292;\r\n font: 15px/24px 'Futura Today Bold';\r\n text-shadow: 1px 1px 1px rgba(255,255,255,.4);\r\n text-transform: uppercase\r\n}\r\n\r\n.elections-2012 .stag-masthead>h1 {\r\n font: 46px/50px 'Futura Today Bold';\r\n margin-bottom: 15px;\r\n text-transform: uppercase\r\n}\r\n\r\n.elections-2012 .stag-masthead>h1 a {\r\n color: #fff\r\n}\r\n\r\n.elections-2012 .stag-masthead>h1 .elections-star-icon {\r\n background: url(../../images/topics/elections-2012/star_icon.gif) no-repeat;\r\n display: inline-block;\r\n height: 35px;\r\n margin: 0 4px 0 -6px;\r\n position: relative;\r\n top: 0;\r\n width: 45px;\r\n box-shadow: 4px 3px 3px rgba(0,0,0,.4)\r\n}\r\n\r\narticle.cards.stag.elections-2012 .card-container {\r\n background: #fdfdfd url(../../images/topics/elections-2012/body_background.jpg) repeat-x;\r\n filter: none\r\n}\r\n\r\narticle.cards.elections-2012 .card-wrap {\r\n min-height: inherit;\r\n padding-top: 30px\r\n}\r\n\r\n.elections-2012 .nav,.elections-2012 .nav-item .nav-list {\r\n list-style: none;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.elections-2012 .nav {\r\n background: #0f69aa url(../../images/topics/elections-2012/nav_background.gif) repeat-x;\r\n color: #fff;\r\n float: left;\r\n font-family: Arial,sans-serif;\r\n font-size: 14px;\r\n position: relative;\r\n width: 960px;\r\n z-index: 1\r\n}\r\n\r\n.elections-2012 .nav-item {\r\n border-right: 1px solid #0a599b;\r\n margin: 0;\r\n text-align: center;\r\n float: left;\r\n width: 159px\r\n}\r\n\r\n.elections-2012 .nav-item:last-child {\r\n border-right: 0\r\n}\r\n\r\n.elections-2012 .nav-item:last-child {\r\n width: 160px\r\n}\r\n\r\n.elections-2012 .nav-item.active {\r\n background: url(../../images/topics/elections-2012/nav_background_active.gif) repeat-x\r\n}\r\n\r\n.elections-2012 .nav-item:hover {\r\n background: #fdfdfd\r\n}\r\n\r\n.elections-2012 .nav-item a {\r\n color: #333\r\n}\r\n\r\n.elections-2012 .nav-item a:hover {\r\n color: #1a95d2\r\n}\r\n\r\n.elections-2012 .nav-item .top {\r\n cursor: default;\r\n display: inline-block;\r\n font-weight: 700;\r\n height: 100%;\r\n line-height: 46px;\r\n width: 100%\r\n}\r\n\r\n.elections-2012 .nav-item.active .top {\r\n color: #fff\r\n}\r\n\r\n.elections-2012 .nav-item:hover .top {\r\n color: #333\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list {\r\n background: #fdfdfd;\r\n background: -moz-linear-gradient(top,#fdfdfd 0,#e1e1e1 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#fdfdfd),color-stop(100%,#e1e1e1));\r\n background: -webkit-linear-gradient(top,#fdfdfd 0,#e1e1e1 100%);\r\n background: linear-gradient(to bottom,#fdfdfd 0,#e1e1e1 100%);\r\n color: #333;\r\n height: 135px;\r\n left: 0;\r\n position: absolute;\r\n table-layout: fixed;\r\n top: 46px;\r\n width: 100%;\r\n z-index: 1;\r\n text-align: left!important\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list.debates-menu {\r\n height: 135px\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list {\r\n display: none\r\n}\r\n\r\n.elections-2012 .nav-item:hover .nav-list {\r\n display: table\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list-item {\r\n border-right-color: #cecece;\r\n display: table-cell;\r\n padding: 2% 2% 0;\r\n vertical-align: top\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list h2 {\r\n font-size: 16px;\r\n font-weight: 700;\r\n line-height: 20px;\r\n margin: 0;\r\n text-shadow: 0 1px 0 #fff\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list.candidates h2 {\r\n clear: both\r\n}\r\n\r\n.elections-2012 .nav-item .nav-list-item img {\r\n border: 1px solid #cecece;\r\n display: inline;\r\n float: left;\r\n margin: 2px 15px 15px 0\r\n}\r\n\r\n.elections-2012 .nav .blurb {\r\n font-size: 12px;\r\n line-height: 16px;\r\n margin: 2px 0 0\r\n}\r\n\r\n.elections-2012 .nav .overview .blurb {\r\n line-height: 18px\r\n}\r\n\r\n.elections-2012 .nav .overview .icon {\r\n background: url(../../images/topics/elections-2012/icons.png) no-repeat 0 0;\r\n display: inline-block;\r\n height: 16px;\r\n padding-left: 24px;\r\n position: relative;\r\n top: 2px\r\n}\r\n\r\n.elections-2012 .nav .overview .icon.blog {\r\n background-position: 0 -16px;\r\n padding-left: 20px;\r\n top: 4px\r\n}\r\n\r\n.elections-2012 .nav .candidates .nav-list-item,.elections-2012 .nav .conventions .nav-list-item {\r\n display: block;\r\n float: left;\r\n height: 95px;\r\n padding: 20px 48px\r\n}\r\n\r\n.elections-2012 .nav .conventions .nav-list-item {\r\n padding: 20px;\r\n width: 439px\r\n}\r\n\r\n.lt-ie9 .elections-2012 .nav .candidates .nav-list-item,.lt-ie9 .elections-2012 .nav .conventions .nav-list-item {\r\n padding: 20px\r\n}\r\n\r\n.elections-2012 .nav .candidates .thumbs {\r\n border: 0;\r\n text-align: center;\r\n padding: 25px 48px 15px\r\n}\r\n\r\n.elections-2012 .nav .candidates .nav-list-item:last-child {\r\n border-left: 1px solid #cecece;\r\n padding: 37px 15px 3px 25px;\r\n max-width: 160px\r\n}\r\n\r\n.elections-2012 .nav .thumbs img {\r\n border: 0;\r\n margin: -16px 0 4px\r\n}\r\n\r\n.elections-2012 .nav .labels h2,.elections-2012 .nav .labels h3 {\r\n font: 700 11px/14px Arial,sans-serif;\r\n text-shadow: none;\r\n text-transform: none\r\n}\r\n\r\n.elections-2012 .nav .labels h3 {\r\n font-weight: 400;\r\n font-style: italic;\r\n margin: 0\r\n}\r\n\r\n.elections-2012 .nav .conventions .labels h2.date {\r\n background: #999;\r\n color: #fff;\r\n display: inline-block;\r\n font-size: 14px;\r\n line-height: 16px;\r\n margin: 2px 0 8px;\r\n padding: 6px 10px 4px;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n box-shadow: inset 0 1px 2px rgba(0,0,0,.2),0 1px 0 #fff\r\n}\r\n\r\n.elections-2012 .nav .conventions .labels h2 {\r\n font-size: 16px;\r\n margin: 8px 0 5px\r\n}\r\n\r\n.elections-2012 .nav .conventions .labels h3 {\r\n font-size: 14px\r\n}\r\n\r\n.elections-2012 .nav .labels.list-item {\r\n line-height: 14px;\r\n overflow: hidden;\r\n width: 100%\r\n}\r\n\r\n.elections-2012 .nav .labels.list-item h2 {\r\n margin-top: 4px\r\n}\r\n\r\n.elections-2012 .nav .labels.list-item .date {\r\n clear: both;\r\n float: left;\r\n font-size: 12px;\r\n line-height: 14px;\r\n margin: 2px 8px 2px 0;\r\n padding: 2px 4px;\r\n width: 52px\r\n}\r\n\r\n.elections-2012 .nav .labels.list-item .location {\r\n font-weight: 400\r\n}\r\n\r\n#election-state-nav-form .election-state-input {\r\n width: 100%;\r\n font-weight: 700\r\n}\r\n\r\n.elections-2012 .stag.mod.hero.three-up .slide {\r\n background: #12202b url(../../images/topics/elections-2012/dark_noise.gif) no-repeat\r\n}\r\n\r\n.elections-2012.stag .featured-content-series {\r\n background: url(../../images/topics/elections-2012/star_pattern.gif) repeat;\r\n width: 960px;\r\n overflow: hidden\r\n}\r\n\r\n.elections-2012 .featured-content-a {\r\n border-color: transparent\r\n}\r\n\r\n.elections-2012 .featured-content .updated {\r\n color: #dd9593\r\n}\r\n\r\n.elections-2012.stag .featured-content-img {\r\n border-color: #d89494\r\n}\r\n\r\n.elections-2012 #cand-ribbon {\r\n background: #1081c7;\r\n clear: both;\r\n height: 100px;\r\n overflow: hidden;\r\n padding: 10px 20px\r\n}\r\n\r\n.elections-2012 #cand-ribbon,.elections-2012 #cand-ribbon a {\r\n color: #fff\r\n}\r\n\r\n.elections-2012 #cand-ribbon-thumb,.elections-2012 #cand-ribbon-info,.elections-2012 #cand-ribbon-list li {\r\n float: left\r\n}\r\n\r\n.elections-2012 #cand-ribbon-thumb {\r\n margin-right: 20px;\r\n margin-top: -16px\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list,.elections-2012 #cand-ribbon-name {\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.elections-2012 #cand-ribbon-name {\r\n font-size: 50px;\r\n margin: 10px 0 4px;\r\n line-height: 54px\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list {\r\n list-style: none\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list li {\r\n border-left: 1px solid #126396;\r\n font-size: 14px;\r\n font-weight: 700;\r\n line-height: 24px;\r\n padding: 0 24px\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list li:first-child {\r\n border-left: 0;\r\n padding-left: 0\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list .plain {\r\n font-weight: 400\r\n}\r\n\r\n.elections-2012 #cand-ribbon-list #cand-bio-btn {\r\n background: #2aa9ff;\r\n border: 1px solid #1a7dc2;\r\n border-radius: 3px;\r\n font: 12px/12px 'Futura Today Bold';\r\n padding: 8px 16px 7px;\r\n position: relative;\r\n top: -2px;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.6);\r\n text-transform: uppercase\r\n}\r\n\r\n.elections-2012 .contributing-writers .details img {\r\n max-width: none\r\n}\r\n\r\n.elections-2012 .view-all {\r\n background: url(../../images/components/full-bio-arrow.png) no-repeat;\r\n display: block;\r\n font-weight: 700;\r\n line-height: 18px;\r\n padding-left: 22px;\r\n position: absolute;\r\n top: 9px;\r\n right: 0\r\n}\r\n\r\n.elections-2012 .writers {\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.elections-2012 .stag.contributing-writers {\r\n border: 0;\r\n padding: 0\r\n}\r\n\r\n.elections-2012 .contributing-writers .writer {\r\n width: 50%\r\n}\r\n\r\n.elections-2012 .contributing-writers .blurb {\r\n color: #333;\r\n width: auto\r\n}\r\n\r\n.elections-2012 .stag.contributing-writers h2 {\r\n float: none\r\n}\r\n\r\n.elections-2012 .split {\r\n float: left;\r\n padding: 10px 36px;\r\n width: 400px\r\n}\r\n\r\n.elections-2012 .split:first-child {\r\n border-right: 1px solid #cecece\r\n}\r\n\r\n#election-state-nav-form .ui-autocomplete-result-container {\r\n background: 0;\r\n border: 0\r\n}\r\n\r\n.election-credits {\r\n font-size: 10px;\r\n color: #636363;\r\n clear: both;\r\n padding: 10px 0 20px 20px;\r\n font-weight: 400;\r\n background: transparent url(../../images/patterns/paper-noise-bar.png) repeat top left\r\n}\r\n\r\n.election-credits span {\r\n font-weight: 700\r\n}\r\n\r\n.elections-2012 .mod.hero {\r\n position: relative;\r\n z-index: 0\r\n}\r\n\r\n.election-forecast .stag.hero.three-up .stage {\r\n height: 650px;\r\n background: #fff\r\n}\r\n\r\n.election-forecast .stag.hero.three-up iframe {\r\n border: 0;\r\n float: left;\r\n margin: 0\r\n}\r\n\r\n.elections-2012 .content-wrap iframe {\r\n border: 0;\r\n float: left;\r\n margin: 0\r\n}\r\n\r\narticle.cards.stag.usa-tomorrow {\r\n background: #000 url(../../images/topics/usa-tomorrow/usa-tomorrow-background.jpg) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.usa-tomorrow .card-container {\r\n background: 0\r\n}\r\n\r\narticle.cards.stag.usa-tomorrow .stag-masthead>h1 {\r\n background: transparent url(../../images/topics/usa-tomorrow/usa-tomorrow.png) no-repeat top center;\r\n font-size: 0;\r\n height: 42px;\r\n line-height: 0;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap\r\n}\r\n\r\narticle.cards.stag.thriving-on-the-road {\r\n background: #000 url(../../images/topics/thriving-on-the-road/thriving-on-the-road-background.jpg) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.thriving-on-the-road .card-container {\r\n background: 0\r\n}\r\n\r\narticle.cards.stag.thriving-on-the-road .stag-masthead {\r\n position: relative\r\n}\r\n\r\narticle.cards.stag.thriving-on-the-road .stag-masthead h1 {\r\n background: transparent url(../../images/topics/thriving-on-the-road/thriving-on-the-road.png) no-repeat top center;\r\n font-size: 0;\r\n height: 58px;\r\n line-height: 0;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap\r\n}\r\n\r\narticle.cards.stag.thriving-on-the-road .stag-masthead .leavebehind {\r\n bottom: -25px;\r\n color: #eff6bf;\r\n font-family: Arial,sans-serif;\r\n font-size: 9px;\r\n font-weight: 700;\r\n line-height: normal;\r\n position: absolute;\r\n right: 0;\r\n text-align: center;\r\n width: 105px\r\n}\r\n\r\narticle.cards.stag.thriving-on-the-road .stag-masthead .leavebehind .logo-spot {\r\n background-color: #bbd683;\r\n background-color: rgba(255,255,255,.16);\r\n box-shadow: 0 2px 5px #000;\r\n box-shadow: 0 2px 5px rgba(0,0,0,.25);\r\n margin-top: 5px;\r\n padding: 10px 0 6px\r\n}\r\n\r\narticle.cards.stag.better-business-travel {\r\n background: #000 url(../../images/topics/better-business-travel/better-business-travel-background.jpg) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.better-business-travel .card-container {\r\n background: 0\r\n}\r\n\r\narticle.cards.stag.better-business-travel .stag-masthead {\r\n position: relative\r\n}\r\n\r\narticle.cards.stag.better-business-travel .stag-masthead h1 {\r\n background: transparent url(../../images/topics/better-business-travel/better-business-travel.png) no-repeat top center;\r\n font-size: 0;\r\n height: 48px;\r\n line-height: 0;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap\r\n}\r\n\r\narticle.cards.stag.better-business-travel .stag-masthead .leavebehind {\r\n bottom: -25px;\r\n color: #eff6bf;\r\n font-family: Arial,sans-serif;\r\n font-size: 9px;\r\n font-weight: 700;\r\n line-height: normal;\r\n position: absolute;\r\n right: 0;\r\n text-align: center;\r\n width: 105px\r\n}\r\n\r\narticle.cards.stag.better-business-travel .stag-masthead .leavebehind .logo-spot {\r\n background-color: #46afc4;\r\n background-color: rgba(255,255,255,.25);\r\n box-shadow: 0 2px 5px #000;\r\n box-shadow: 0 2px 5px rgba(0,0,0,.25);\r\n margin-top: 5px;\r\n padding: 10px 0 6px\r\n}\r\n\r\n.greeninc .stag-masthead .masthead-intro {\r\n color: #929292;\r\n font: 15px 'Futura Today Bold';\r\n text-shadow: 1px 1px 1px rgba(255,255,255,.4);\r\n text-transform: uppercase\r\n}\r\n\r\n.greeninc .stag-masthead>h1 {\r\n font: 40px 'Futura Today Bold';\r\n margin-bottom: 15px;\r\n text-transform: uppercase\r\n}\r\n\r\n.greeninc .stag-masthead>h1 a {\r\n color: #fff\r\n}\r\n\r\n.greeninc .stag-masthead>h1 span {\r\n display: block;\r\n font: 12px 'Futura Today Bold';\r\n padding: 0 0 0 10px;\r\n margin-top: -15px;\r\n text-transform: capitalize\r\n}\r\n\r\narticle.cards.stag.greeninc .card-container {\r\n background: #25372b;\r\n filter: none\r\n}\r\n\r\narticle.cards.stag.greeninc .card-wrap {\r\n min-height: inherit;\r\n padding-top: 30px\r\n}\r\n\r\n.ghostfactories .stag-masthead .masthead-intro {\r\n color: #929292;\r\n font: 15px 'Futura Today Bold';\r\n text-shadow: 1px 1px 1px rgba(255,255,255,.4);\r\n text-transform: uppercase\r\n}\r\n\r\n.ghostfactories .stag-masthead>h1 {\r\n font: 55px 'Futura Today Bold';\r\n margin-bottom: 15px;\r\n text-transform: uppercase\r\n}\r\n\r\n.ghostfactories .stag-masthead>h1 img {\r\n margin: 0 10px 20px 0\r\n}\r\n\r\narticle.cards.stag.awards-central .card-container {\r\n background: #000 url(../../images/topics/awards-central/body_background.jpg) no-repeat 50% 0;\r\n filter: none\r\n}\r\n\r\narticle.cards.stag.usa-weekend {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.stag.entertainment {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.stag.food {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.stag.games {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.stag.health {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\narticle.cards.stag.living {\r\n background: #000 url(../../images/topics/usa-weekend/usaweekend.png) no-repeat fixed top center;\r\n -webkit-background-size: cover;\r\n -moz-background-size: cover;\r\n -o-background-size: cover;\r\n background-size: cover\r\n}\r\n\r\n.card-suspender-color.home {\r\n background-color: #1877b6\r\n}\r\n\r\n.card-suspender-color.news {\r\n background-color: #1877b6\r\n}\r\n\r\n.card-suspender-color.sports {\r\n background-color: #9e1d0a\r\n}\r\n\r\n.card-suspender-color.life {\r\n background-color: #741784\r\n}\r\n\r\n.card-suspender-color.money {\r\n background-color: #137f39\r\n}\r\n\r\n.card-suspender-color.tech {\r\n background-color: #c1570a\r\n}\r\n\r\n.card-suspender-color.travel {\r\n background-color: #119e9e\r\n}\r\n\r\n.card-suspender-color.opinion {\r\n background-color: #666\r\n}\r\n\r\n.card-suspender-color.weather {\r\n background-color: #dd9d0d\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-news-span.site-nav-active-span {\r\n background: #009bff\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-sports-span.site-nav-active-span {\r\n background: #b81800\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-life-span.site-nav-active-span {\r\n background: #9600b4\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-money-span.site-nav-active-span {\r\n background: #00a53c\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-tech-span.site-nav-active-span {\r\n background: #fa6600\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-travel-span.site-nav-active-span {\r\n background: #00c3c3\r\n}\r\n\r\n.site-nav-span-theme-usatoday.site-nav-opinion-span.site-nav-active-span {\r\n background: #666\r\n}\r\n\r\n#nav .weather .nav-anchor:hover .nav-span,#nav .weather .nav-anchor .nav-span.dropdown-active,#nav .weather.active .nav-span.dropdown-active {\r\n background: #313131 url(../../images/patterns/nav-dropdown-noise.gif)\r\n}\r\n\r\n.headline-asset-item-tile {\r\n background-color: #0050a1\r\n}\r\n\r\n.headline-asset-item-home-tile {\r\n background-color: #0050a1;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-news-tile {\r\n background-color: #009bff;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-sports-tile {\r\n background-color: #eb1e00;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-life-tile {\r\n background-color: #9600b4;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-money-tile {\r\n background-color: #00a53c;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-opinion-tile {\r\n background-color: #666;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-tech-tile {\r\n background-color: #fa5f00;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-travel-tile,.headline-asset-item-experience-tile {\r\n background-color: #00c3c3;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.headline-asset-item-weather-tile {\r\n background-color: #ffc000;\r\n background-color: rgba(0,0,0,.5)\r\n}\r\n\r\n.noimage .headline-asset-item-tile {\r\n background-color: #0050a1\r\n}\r\n\r\n.noimage .headline-asset-item-home-tile {\r\n background-color: #0050a1\r\n}\r\n\r\n.noimage .headline-asset-item-news-tile {\r\n background-color: #009bff\r\n}\r\n\r\n.noimage .headline-asset-item-sports-tile {\r\n background-color: #eb1e00\r\n}\r\n\r\n.noimage .headline-asset-item-life-tile {\r\n background-color: #9600b4\r\n}\r\n\r\n.noimage .headline-asset-item-money-tile {\r\n background-color: #00a53c\r\n}\r\n\r\n.noimage .headline-asset-item-opinion-tile {\r\n background-color: #666\r\n}\r\n\r\n.noimage .headline-asset-item-tech-tile {\r\n background-color: #fa5f00\r\n}\r\n\r\n.noimage .headline-asset-item-travel-tile,.noimage .headline-asset-item-experience-tile {\r\n background-color: #00c3c3\r\n}\r\n\r\n.noimage .headline-asset-item-weather-tile {\r\n background-color: #ffc000\r\n}\r\n\r\n.parent-label {\r\n background-color: #0050a1\r\n}\r\n\r\n.news-theme-color,.news-theme-color:visited {\r\n color: #00a53c\r\n}\r\n\r\n.sports-theme-color,.sports-theme-color:visited {\r\n color: #eb1e00\r\n}\r\n\r\n.life-theme-color,.life-theme-color:visited {\r\n color: #9600b4\r\n}\r\n\r\n.money-theme-color,.money-theme-color:visited {\r\n color: #00a53c\r\n}\r\n\r\n.tech-theme-color,.tech-theme-color:visited {\r\n color: #fa5f00\r\n}\r\n\r\n.travel-theme-color,.travel-theme-color:visited {\r\n color: #00c3c3\r\n}\r\n\r\n.weather-theme-color,.weather-theme-color:visited {\r\n color: #ffc000\r\n}\r\n\r\n.opinion-theme-color,.opinion-theme-color:visited {\r\n color: #666\r\n}\r\n\r\n.parent-label.news,.news-theme-bg,.news-theme-bg-hover:hover {\r\n background-color: #009bff!important\r\n}\r\n\r\n.parent-label.sponsor-story,.sponsor-story-theme-bg,.sponsor-story-theme-bg-hover:hover {\r\n background-color: #000!important\r\n}\r\n\r\n.parent-label.sports,.sports-theme-bg,.sports-theme-bg-hover:hover {\r\n background-color: #eb1e00!important\r\n}\r\n\r\n.parent-label.life,.life-theme-bg,.life-theme-bg-hover:hover {\r\n background-color: #9600b4!important\r\n}\r\n\r\n.parent-label.money,.money-theme-bg,.money-theme-bg-hover:hover {\r\n background-color: #00a53c!important\r\n}\r\n\r\n.parent-label.tech,.tech-theme-bg,.tech-theme-bg-hover:hover {\r\n background-color: #fa5f00!important\r\n}\r\n\r\n.parent-label.travel,.parent-label.experience,.travel-theme-bg,.experience-theme-bg,.travel-theme-bg-hover:hover,.experience-theme-bg-hover:hover {\r\n background-color: #00c3c3!important\r\n}\r\n\r\n.parent-label.weather,.weather-theme-bg,.weather-theme-bg-hover:hover {\r\n background-color: #ffc000!important\r\n}\r\n\r\n.parent-label.opinion,.opinion-theme-bg,.opinion-theme-bg-hover:hover {\r\n background-color: #666!important\r\n}\r\n\r\n#animated-site-logo {\r\n display: block;\r\n position: absolute;\r\n z-index: 1\r\n}\r\n\r\n#animated-site-logo-dot-link {\r\n background: url(about:blank);\r\n display: block;\r\n height: 80px;\r\n position: absolute;\r\n width: 53px;\r\n z-index: 1\r\n}\r\n\r\n.animated-site-logo-link {\r\n background: url(about:blank);\r\n display: block;\r\n height: 80px;\r\n left: 53px;\r\n position: absolute;\r\n width: 210px;\r\n z-index: 1\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.news h6,.news-theme-border,.news-theme-border-hover:hover {\r\n border-color: #009bff!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.sports h6,.sports-theme-border,.sports-theme-border-hover:hover {\r\n border-color: #ff1e00!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.life h6,.life-theme-border,.life-theme-border-hover:hover {\r\n border-color: #9600b4!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.money h6,.money-theme-border,.money-theme-border-hover:hover {\r\n border-color: #00a53c!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.tech h6,.tech-theme-border,.tech-theme-border-hover:hover {\r\n border-color: #fa5f00!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.travel h6,.travel-theme-border,.travel-theme-border-hover:hover,.experience-theme-border,.experience-theme-border-hover:hover {\r\n border-color: #00c3c3!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.opinion h6,.opinion-theme-border,.opinion-theme-border-hover:hover {\r\n border-color: #666!important\r\n}\r\n\r\n.site-theme-usatoday .footer>nav>.fronts>section.weather h6,.weather-theme-border,.weather-theme-border-hover:hover {\r\n border-color: #ffc000!important\r\n}\r\n\r\n.gallery-viewport>.slide>div.snapshot-card {\r\n background: #fff;\r\n margin-top: 5px;\r\n padding-bottom: 5px\r\n}\r\n\r\n.snapshots {\r\n line-height: normal;\r\n padding: 10px;\r\n text-align: left;\r\n width: 660px;\r\n cursor: default\r\n}\r\n\r\n.snapshot-meta {\r\n clear: left;\r\n color: #a1a1a1;\r\n float: left;\r\n font-family: Arial;\r\n font-size: 12px;\r\n height: 40px;\r\n line-height: 18px;\r\n padding-top: 15px;\r\n text-align: left;\r\n width: 445px\r\n}\r\n\r\n.snapshot-sponsor {\r\n top: 452px;\r\n color: #a1a1a1;\r\n font-family: Arial;\r\n font-size: 12px;\r\n position: absolute;\r\n right: 30px;\r\n text-transform: uppercase;\r\n z-index: 5\r\n}\r\n\r\n.snapshot-sponsor-text {\r\n display: inline-block;\r\n line-height: 30px;\r\n vertical-align: middle;\r\n padding-right: 5px\r\n}\r\n\r\n.snapshot-meta-caption-source,.snapshot-meta-caption-credit {\r\n width: 100%;\r\n display: block\r\n}\r\n\r\n.snapshots.snapshot {\r\n min-height: 430px\r\n}\r\n\r\n.snapshots.snap-vote {\r\n top: 0;\r\n background: #f5f5f5;\r\n display: none;\r\n height: 465px;\r\n padding: 20px;\r\n width: 640px\r\n}\r\n\r\n.snapshots .snap-lt-col {\r\n float: left;\r\n height: 430px;\r\n position: relative;\r\n margin: 0 15px 0 0;\r\n width: 215px\r\n}\r\n\r\n.snapshots .snap-title {\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 28px\r\n}\r\n\r\n.snapshots .snap-chatter {\r\n font-size: 14px;\r\n line-height: 22px;\r\n margin: 5px 0 0\r\n}\r\n\r\n.snapshots .snap-poll {\r\n bottom: 0;\r\n border-top: 1px solid #ccc;\r\n left: 0;\r\n padding: 15px 0 0;\r\n position: absolute\r\n}\r\n\r\n.snapshots .snap-poll-question {\r\n font-size: 24px;\r\n font-weight: 700;\r\n letter-spacing: -1px;\r\n line-height: 28px;\r\n margin: 0 0 15px\r\n}\r\n\r\n.snapshots .snap-poll .snap-poll-question {\r\n font-size: 16px;\r\n letter-spacing: normal;\r\n line-height: 22px\r\n}\r\n\r\n.snapshots .vote {\r\n width: 85px\r\n}\r\n\r\n.snapshots .ui-chunky-btn.icon.vote:before {\r\n background: url(../../images/modules/snapshots/vote-icon.png) no-repeat\r\n}\r\n\r\n.snap-poll .ui-chunky-btn.icon {\r\n z-index: 1;\r\n width: 215px\r\n}\r\n\r\n.snapshots .ui-chunky-btn.icon {\r\n z-index: 1\r\n}\r\n\r\n.snapshots .snapshot-square {\r\n float: right;\r\n height: 430px;\r\n width: 430px\r\n}\r\n\r\n.snapshots .snap-vote-options {\r\n margin: 0 auto;\r\n text-align: center;\r\n width: 392px\r\n}\r\n\r\n.snapshots .snap-vote-options .cancel,.snapshots .snap-vote-results .cancel {\r\n margin: 20px 0 0\r\n}\r\n\r\n.snapshots .ui-chunky-btn.snap-vote-btn {\r\n color: #666;\r\n font-family: Arial;\r\n font-size: 16px;\r\n text-align: center;\r\n margin: 10px 0;\r\n text-shadow: none;\r\n text-transform: none;\r\n width: 350px\r\n}\r\n\r\n.snapshots .ui-chunky-btn.snap-vote-btn.loading {\r\n background-image: url(../../images/indicators/loader.gif);\r\n background-position: center;\r\n background-repeat: no-repeat;\r\n text-indent: -2000px\r\n}\r\n\r\n.snapshots .snap-poll-label {\r\n color: #a0a0a0;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 10px;\r\n letter-spacing: 1px;\r\n line-height: 8px;\r\n padding: 0 0 10px;\r\n text-transform: uppercase\r\n}\r\n\r\n.snapshots .snap-vote-results {\r\n text-align: center;\r\n width: 100%\r\n}\r\n\r\n.snapshots .snap-vote-results p {\r\n color: #666;\r\n font-weight: 700;\r\n margin: 20px 0 5px;\r\n text-align: left\r\n}\r\n\r\n.snapshots .snap-vote-results p span {\r\n float: right;\r\n font-weight: 400\r\n}\r\n\r\n.snapshots .snap-result-wrapper {\r\n background: #eaeaea;\r\n border: 1px solid #fff;\r\n box-shadow: inset 0 0 7px #b7b7b7;\r\n clear: both;\r\n display: block;\r\n height: 22px;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.snapshots .result-fill {\r\n background: #007ac6;\r\n display: block;\r\n height: 22px;\r\n left: 0;\r\n position: absolute;\r\n top: 0\r\n}\r\n\r\n.asset-headline {\r\n color: #333;\r\n font: 700 32px/34px helvetica,arial,sans-serif;\r\n margin: 0;\r\n padding: 20px 0 0 70px\r\n}\r\n\r\n.interactive-poll {\r\n display: block;\r\n width: 320px;\r\n min-height: 100px;\r\n height: auto;\r\n padding: 0;\r\n margin: 0\r\n}\r\n\r\n.interactive-poll .story-poll-vote-wrapper,.story-poll-results-btn {\r\n color: #333;\r\n display: inline-block;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 30px;\r\n margin: 0 0 20px\r\n}\r\n\r\n.interactive-poll .story-poll-vote-button-icon {\r\n background: url(../../images/sprites/forms-sprite.png) 3px -64px no-repeat;\r\n float: left;\r\n height: 14px;\r\n width: 19px\r\n}\r\n\r\n.interactive-poll .story-poll-vote-wrapper {\r\n background-clip: content-box;\r\n border: 1px solid #d1d1d1;\r\n border-radius: 2px;\r\n box-shadow: 0 3px 1px rgba(238,238,238,1);\r\n display: block;\r\n float: left;\r\n font: 700 12px/30px arial,sans-serif;\r\n height: 15px;\r\n margin: 5px 0 15px 15px;\r\n max-width: 260px;\r\n padding: 7px;\r\n width: 70px\r\n}\r\n\r\n.poll-vote-results .story-poll-vote-wrapper {\r\n float: none;\r\n margin-left: 10px\r\n}\r\n\r\n.story-poll-results-btn {\r\n border: 0;\r\n background: url(../../images/sprites/forms-sprite.png) 0 -113px no-repeat;\r\n float: right;\r\n margin: 12px 20px 0 0;\r\n box-shadow: none;\r\n padding: 0 0 0 28px;\r\n height: 26px;\r\n position: static\r\n}\r\n\r\n.story-poll-results-btn-text {\r\n float: left;\r\n margin-top: -3px\r\n}\r\n\r\n.interactive-poll a:hover {\r\n color: #1ea3ff\r\n}\r\n\r\n.interactive-poll .story-poll-chart {\r\n display: none;\r\n margin-bottom: 20px\r\n}\r\n\r\n.interactive-poll h4 {\r\n font-size: 14px;\r\n line-height: 1.2em;\r\n margin: 0 25px 5px;\r\n text-align: left\r\n}\r\n\r\n.interactive-poll .story-poll-choices-list,.interactive-poll .poll-vote-results {\r\n padding: 0 15px;\r\n text-align: left\r\n}\r\n\r\n.interactive-poll .story-poll-choices-list .story-poll-choices-item {\r\n clear: both;\r\n float: left;\r\n font: 700 13px arial,sans-serif;\r\n list-style: none;\r\n margin: 4px 10px 7px\r\n}\r\n\r\n.story-poll-answer,.story-poll-auth,.story-poll-vote-btn {\r\n background: 0;\r\n border: 0;\r\n clear: none;\r\n float: left;\r\n font: 700 12px/30px arial,sans-serif\r\n}\r\n\r\n.story-poll-answer {\r\n -webkit-appearance: none;\r\n background: url(../../images/sprites/forms-sprite.png) 0 -77px no-repeat;\r\n border: 0;\r\n cursor: pointer;\r\n height: 18px;\r\n width: 17px\r\n}\r\n\r\n.story-poll-answer:checked {\r\n background-position: 0 -95px\r\n}\r\n\r\n.story-poll-vote-btn {\r\n line-height: 12px;\r\n margin: 1px 0 0\r\n}\r\n\r\n.story-poll-answer-text,.interactive-poll .chart-bar-top,.interactive-poll .chart-bar-bot {\r\n border-bottom: 1px dotted gray;\r\n float: left;\r\n line-height: 17px;\r\n margin: 0 0 0 10px;\r\n padding-bottom: 11px;\r\n width: 240px\r\n}\r\n\r\n.interactive-poll .results {\r\n background: 0;\r\n box-shadow: none;\r\n display: inline-block;\r\n margin: 0 20px;\r\n top: 0;\r\n width: 90%\r\n}\r\n\r\n.story-poll-result-item {\r\n background-clip: padding-box;\r\n clear: both;\r\n color: #666;\r\n height: 60px;\r\n list-style: none;\r\n width: 100%\r\n}\r\n\r\n.interactive-poll .story-poll-chart-answer-text {\r\n color: #666;\r\n font-size: 12px;\r\n font-weight: 400;\r\n line-height: 16px;\r\n padding: 5px 10px;\r\n text-align: left;\r\n width: auto;\r\n word-wrap: break-word\r\n}\r\n\r\n.story-poll-bar-wrap {\r\n height: 15px;\r\n padding: 0 10px;\r\n z-index: 150\r\n}\r\n\r\n.story-poll-chart-bar-mid {\r\n height: 25px;\r\n max-width: 100%\r\n}\r\n\r\n.story-poll-chart-bar-hor {\r\n background-color: #1b9efc;\r\n background-clip: content-box;\r\n display: inline-block;\r\n float: left;\r\n height: 100%;\r\n min-width: 2px;\r\n max-width: 81%;\r\n text-align: right;\r\n width: 100%\r\n}\r\n\r\n.story-poll-chart-percent-label {\r\n font-family: \"Futura Today Bold\";\r\n padding-left: 5px\r\n}\r\n\r\n.interactive-poll .story-poll-results-total-wrapper {\r\n color: #666;\r\n font-family: \"Futura Today\";\r\n font-size: 14px;\r\n margin: 10px 0 0 10px;\r\n text-align: left\r\n}\r\n\r\n.interactive-poll .story-poll-results-total-wrapper .story-poll-results-total {\r\n font-family: \"Futura Today Bold\";\r\n text-transform: uppercase\r\n}\r\n\r\n.interactive-poll .email-widget-success-msg {\r\n width: auto\r\n}\r\n\r\n.site-nav-span.site-nav-firefly-span {\r\n width: 18px\r\n}\r\n\r\n.site-nav-span.site-nav-firefly-span:after {\r\n background-position: -40px 0;\r\n height: 16px;\r\n margin-left: -9px;\r\n top: 13px;\r\n width: 18px\r\n}\r\n\r\n.site-nav-span.site-nav-firefly-span {\r\n cursor: wait;\r\n opacity: .3;\r\n -moz-transition: opacity .2s linear;\r\n -o-transition: opacity .2s linear;\r\n transition: opacity .2s linear\r\n}\r\n\r\n.site-nav-span.site-nav-firefly-span.loaded {\r\n cursor: pointer;\r\n opacity: 1\r\n}\r\n\r\n.site-nav-firefly-avatar-image {\r\n left: 9px;\r\n position: absolute;\r\n top: 9px\r\n}\r\n\r\n.site-nav-firefly-dropdown {\r\n width: 220px\r\n}\r\n\r\n.site-nav-firefly-dropdown-text {\r\n color: #cacaca;\r\n font-size: 11px;\r\n line-height: 14px;\r\n margin-bottom: 8px\r\n}\r\n\r\n.site-nav-firefly-user-display-name {\r\n font-weight: 700\r\n}\r\n\r\n.site-nav-firefly-dropdown-section {\r\n display: none;\r\n margin: 15px 0;\r\n padding: 0 20px\r\n}\r\n\r\n.site-nav-firefly-dropdown-section>.ui-chunky-btn {\r\n display: block;\r\n margin-top: 5px\r\n}\r\n\r\n.firefly-activate-btn {\r\n display: block\r\n}\r\n\r\n.ff-greetings,.ff-login {\r\n border: 0\r\n}\r\n\r\n.ff-logout {\r\n margin-top: 10px\r\n}\r\n\r\n.site-nav-firefly-dropdown>.ff-login {\r\n display: block\r\n}\r\n\r\n.site-nav-firefly-dropdown.authenticated>.ff-activate,.site-nav-firefly-dropdown.authenticated>.ff-login {\r\n display: none\r\n}\r\n\r\n.site-nav-firefly-dropdown.authenticated>.ff-greetings,.site-nav-firefly-dropdown.authenticated>.ff-account,.site-nav-firefly-dropdown.authenticated>.ff-logout {\r\n display: block\r\n}\r\n\r\n.site-nav-firefly-dropdown>.ff-subscribe,.site-nav-firefly-dropdown>.ff-activate {\r\n display: block\r\n}\r\n\r\n.site-nav-firefly-dropdown.subscribed>.ff-activate,.site-nav-firefly-dropdown.subscribed>.ff-subscribe {\r\n display: none\r\n}\r\n\r\n.site-nav-firefly-dropdown.subscribed>.ff-enewspaper,.site-nav-firefly-dropdown.subscribed>.ff-newsletters,.site-nav-firefly-dropdown.subscribed>.ff-subscriber-central {\r\n display: block\r\n}\r\n\r\n.quick-links {\r\n box-shadow: none\r\n}\r\n\r\n.quick-links-item {\r\n border: 0;\r\n font-size: 12px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.18)\r\n}\r\n\r\n.quick-links-list {\r\n border-bottom: 0;\r\n border-top: 0;\r\n overflow: hidden;\r\n width: 120px\r\n}\r\n\r\n.blog-promo-module {\r\n width: 100%\r\n}\r\n\r\n.blog-promo-module .blog-promo-content {\r\n padding: 27px 0 0;\r\n height: 220px\r\n}\r\n\r\n.blog-promo-primary {\r\n background-color: #efefef;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.blog-promo-content {\r\n display: table;\r\n padding: 27px 0 10px;\r\n margin: 0;\r\n position: relative;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.blog-promo-suspender {\r\n display: block\r\n}\r\n\r\n.blog-promo-suspender .blogs-show-all-wrap {\r\n border-bottom: 1px solid rgba(255,255,225,.14);\r\n border-top: 1px solid rgba(0,0,0,.15);\r\n margin-top: 60px\r\n}\r\n\r\n.blog-promo-module .blogs-show-all-primary {\r\n float: right;\r\n height: 30px;\r\n position: absolute;\r\n right: 20px;\r\n top: 200px;\r\n width: 100px;\r\n z-index: 1000\r\n}\r\n\r\n.blogs-show-all-primary.blog-top-bump {\r\n top: 210px\r\n}\r\n\r\n.blogs-show-all-primary .blogs-show-all {\r\n height: 30px;\r\n margin: 0;\r\n width: 100px\r\n}\r\n\r\n.blog-promo-suspender .blogs-show-all {\r\n border-bottom: 1px solid rgba(0,0,0,.15);\r\n border-top: 1px solid rgba(255,255,225,.14);\r\n cursor: pointer\r\n}\r\n\r\n.blog-promo-suspender .blogs-show-all:hover,.blog-promo-suspender .blogs-show-all-wrap.active .blogs-show-all {\r\n background-color: rgba(0,0,0,.2);\r\n border-top: 1px solid rgba(0,0,0,.14)\r\n}\r\n\r\n.blog-promo-suspender .blogs-show-all-wrap.active:after {\r\n background: transparent url(../../images/notch.png) no-repeat 0 -9px;\r\n content: '';\r\n height: 16px;\r\n margin-top: 42px;\r\n position: absolute;\r\n right: 0;\r\n top: 50%;\r\n width: 10px\r\n}\r\n\r\n.blog-promo-module .show-all-blogs {\r\n color: #666;\r\n height: 0;\r\n overflow: hidden;\r\n width: 720px\r\n}\r\n\r\n.blog-promo-module .inner-show-all-blogs {\r\n background-color: #fff;\r\n border-top: 1px #cdcdcd solid;\r\n box-shadow: inset 1px 2px 3px rgba(0,0,0,.1);\r\n padding: 20px 20px 60px;\r\n -moz-column-count: 3;\r\n -webkit-column-count: 3;\r\n column-count: 3\r\n}\r\n\r\n.blog-promo-module .show-all-blogs .inner-show-all-blogs-h3 {\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 16px;\r\n text-transform: uppercase\r\n}\r\n\r\n.blog-promo-module .show-all-blogs .inner-show-all-blogs-a {\r\n color: #666;\r\n font-size: 13px\r\n}\r\n\r\n.blog-promo-module .show-all-blogs .inner-show-all-blogs-a:hover {\r\n color: #4ec4ff\r\n}\r\n\r\n.blog-promo-content-wrap {\r\n display: table-cell;\r\n width: 100%\r\n}\r\n\r\n.blog-promo-content-item {\r\n display: block;\r\n float: left;\r\n text-align: center\r\n}\r\n\r\n.blog-promo-content-item .blog-promo-content-item-a {\r\n color: #000;\r\n display: block;\r\n font-size: 11px;\r\n min-height: 200px;\r\n padding: 0 25px;\r\n width: 129px\r\n}\r\n\r\n.blog-promo-content-item .blog-promo-content-item-a .blog-promo-content-item-img {\r\n border: 5px solid #fff;\r\n box-shadow: 0 4px 8px 0 #bebebe\r\n}\r\n\r\n.blog-promo-content-item .blog-promo-content-item-a .blog-promo-content-item-h3 {\r\n color: #333;\r\n font-size: 14px;\r\n line-height: 18px;\r\n margin-bottom: 4px;\r\n margin-top: 7px;\r\n -o-text-overflow: ellipsis;\r\n -ms-text-overflow: ellipsis;\r\n text-overflow: ellipsis;\r\n overflow: hidden\r\n}\r\n\r\n.blog-promo-content-wrap-one {\r\n width: 100%\r\n}\r\n\r\n.blog-promo-content-wrap-one .blog-promo-content-item {\r\n width: 100%\r\n}\r\n\r\n.blog-promo-content-wrap-one .blog-description {\r\n float: left;\r\n margin-left: 20px;\r\n width: 510px\r\n}\r\n\r\n.blog-promo-content-wrap-two {\r\n width: 50%\r\n}\r\n\r\n.blog-promo-content-wrap-two .blog-promo-content-item,.blog-promo-content-wrap-one .blog-promo-content-item {\r\n text-align: left\r\n}\r\n\r\n.blog-promo-content-wrap-two .blog-promo-content-item .blog-promo-content-item-a,.blog-promo-content-wrap-one .blog-promo-content-item .blog-promo-content-item-a {\r\n color: #666;\r\n float: left;\r\n font-size: 12px;\r\n padding-right: 0\r\n}\r\n\r\n.blog-promo-content-wrap-two .blog-promo-content-item .blog-promo-content-item-h3,.blog-promo-content-wrap-one .blog-promo-content-item .blog-promo-content-item-h3 {\r\n font-size: 16px\r\n}\r\n\r\n.blog-promo-module .promo-button-container {\r\n bottom: 20px;\r\n display: none;\r\n float: right;\r\n position: absolute;\r\n right: 20px\r\n}\r\n\r\n.close-all-blogs {\r\n width: 100px\r\n}\r\n\r\n.tssm-list {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: block;\r\n list-style: none;\r\n position: relative\r\n}\r\n\r\n.tssm-list-title {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n font: 15px 'Futura Today Bold',Arial,sans-serif;\r\n height: 40px;\r\n line-height: 40px;\r\n padding: 0 0 0 15px;\r\n text-align: left;\r\n text-transform: uppercase\r\n}\r\n\r\n.sidebar.dark .tssm-list-title {\r\n background-color: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f;\r\n color: #fff\r\n}\r\n\r\n.sidebar.light .tssm-list-title {\r\n background-color: #f9f9f9;\r\n border-bottom: 1px solid #dcdcdc;\r\n border-top: 1px solid #ccc;\r\n color: #282828\r\n}\r\n\r\n.tssm-item {\r\n cursor: pointer;\r\n display: block;\r\n margin: 0;\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.tssm-list-link {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: block;\r\n font-weight: 700;\r\n padding: 8px 16px;\r\n transition: color,background-color 75ms,75ms;\r\n -webkit-transition: color,background-color 75ms,75ms\r\n}\r\n\r\n.sidebar.dark .tssm-list-link {\r\n background-color: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f;\r\n border-top: 1px solid #3c3c3c;\r\n color: #fff\r\n}\r\n\r\n.sidebar.dark .tssm-list-link:visited {\r\n color: #fff\r\n}\r\n\r\n.sidebar.dark .tssm-list-link:hover {\r\n background-color: #272727;\r\n border-bottom-color: transparent;\r\n border-top-color: transparent;\r\n color: #fff\r\n}\r\n\r\n.sidebar.light .tssm-list-link {\r\n background-color: #f9f9f9;\r\n border-bottom: 1px solid #dcdcdc;\r\n border-top: 1px solid #fff;\r\n color: #282828\r\n}\r\n\r\n.sidebar.light .tssm-list-link:visited {\r\n color: #282828\r\n}\r\n\r\n.sidebar.light .tssm-list-link:hover {\r\n color: #282828;\r\n background-color: #fff;\r\n border-top-color: transparent\r\n}\r\n\r\n.tssm-list-hed {\r\n display: block;\r\n font-size: 13px;\r\n padding: 4px 0\r\n}\r\n\r\n.usanow-vid-container {\r\n width: 280px;\r\n height: 156px;\r\n margin: 5px 20px\r\n}\r\n\r\n.usanow-vid-container .thumbnail {\r\n display: block;\r\n height: 156px;\r\n position: relative;\r\n width: 280px\r\n}\r\n\r\n.usanow-vid-title {\r\n color: #000;\r\n font: 14px/18px arial,sans-serif;\r\n font-weight: 700;\r\n margin: 0 20px;\r\n text-align: left\r\n}\r\n\r\n.usanow-vid-date {\r\n color: #787878;\r\n font-weight: lighter;\r\n font: 12px/14px arial,sans-serif;\r\n margin: 5px 20px 15px;\r\n text-align: left\r\n}\r\n\r\n.usanow-vid-play {\r\n bottom: 4px;\r\n right: 4px\r\n}\r\n\r\n.more-blogs-wrapper {\r\n overflow: auto;\r\n padding: 50px 0 60px 60px;\r\n width: 700px\r\n}\r\n\r\n.more-blogs-info {\r\n color: #999;\r\n font: 12px/22px arial,sans-serif;\r\n font: 400 12px Helvetica,arial,sans-serif;\r\n margin-bottom: 10px;\r\n width: 550px\r\n}\r\n\r\n.more-blogs-h3 {\r\n font-color: #333;\r\n font-size: 18px;\r\n font-weight: 100;\r\n margin-bottom: 10px;\r\n text-transform: uppercase\r\n}\r\n\r\n.more-blogs-title {\r\n color: #333;\r\n font: 400 14px Helvetica,arial,sans-serif;\r\n margin-top: 10px;\r\n text-transform: uppercase\r\n}\r\n\r\n.more-blogs-wrapper .more-blogs-title-a {\r\n color: #000\r\n}\r\n\r\n.more-blogs-title-a:hover {\r\n color: #1990e5\r\n}\r\n\r\n.more-blogs-allposts {\r\n margin-top: 10px\r\n}\r\n\r\n.more-blogs-alllink {\r\n font: 700 12px/22px arial,sans-serif\r\n}\r\n\r\n.util-bar-btn.util-bar-btn-ugc:before {\r\n background-image: url(../../images/apps/ugc/ugc-sprite.png);\r\n background-position: 0 -68px;\r\n height: 24px;\r\n margin: 5px auto 3px;\r\n width: 18px\r\n}\r\n\r\n.ugc-util-bar-flyout {\r\n width: 320px\r\n}\r\n\r\n.util-bar-flyout-heading.ugc-util-bar-flyout-header {\r\n margin-bottom: 15px;\r\n padding: 15px 0 0\r\n}\r\n\r\n.ugc-util-bar-flyout-header,.ugc-util-bar-flyout-body-content,.ugc-util-bar-flyout-footer {\r\n margin-left: 15px;\r\n margin-right: 15px;\r\n position: relative;\r\n width: 290px\r\n}\r\n\r\n.ugc-util-bar-flyout-footer {\r\n margin-bottom: 30px\r\n}\r\n\r\n.ugc-util-bar-flyout-header-title-link,.ugc-util-bar-flyout-header-title-link:visited {\r\n color: #333\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-view-container {\r\n margin-bottom: 20px;\r\n position: relative\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-view-container.expanded {\r\n overflow: visible\r\n}\r\n\r\n.ugc-util-bar-flyout-login-buttons {\r\n margin-bottom: 20px\r\n}\r\n\r\n.ugc-util-bar-flyout-login-btn-facebook.ugc-login-btn.ui-chunky-btn,.ugc-util-bar-flyout-login-btn-google.ugc-login-btn.ui-chunky-btn {\r\n width: 140px;\r\n display: inline-block;\r\n padding-left: 0;\r\n font-size: 12px;\r\n padding-right: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-login-btn-google.ugc-login-btn.ui-chunky-btn:before,.ugc-util-bar-flyout-login-btn-facebook.ugc-login-btn.ui-chunky-btn:before {\r\n background: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-login-btn-facebook.ugc-login-btn.ui-chunky-btn {\r\n margin-right: 10px\r\n}\r\n\r\n.ugc-util-bar-flyout-view-heading {\r\n color: #666;\r\n font-family: helvetica,arial,sans-serif;\r\n font-size: 15px;\r\n font-style: italic;\r\n margin-bottom: 14px\r\n}\r\n\r\n.ugc-util-bar-flyout-select-files-btn.ui-chunky-btn,.ugc-util-bar-flyout-add-media-tease-btn.ui-chunky-btn {\r\n width: 100%;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n margin-bottom: 20px\r\n}\r\n\r\n.ugc-util-bar-flyout-add-media-tease-btn.ui-chunky-btn:before {\r\n background: url(../../images/apps/ugc/ugc-sprite.png) no-repeat -118px -18px;\r\n height: 16px;\r\n left: 12px;\r\n top: 11px;\r\n width: 16px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form {\r\n display: block;\r\n margin-bottom: 20px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb {\r\n display: inline-block;\r\n margin-right: 10px;\r\n margin-bottom: 10px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb.last-item {\r\n margin-right: 0\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb.ugc-upload-file-thumb {\r\n height: 90px;\r\n width: 90px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-empty.ugc-upload-file-thumb-empty {\r\n height: 86px;\r\n width: 86px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-empty.ugc-upload-file-thumb-empty:after {\r\n top: 37px;\r\n left: 37px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-empty.ugc-upload-file-thumb-empty.ui-chunky-btn {\r\n border: 0;\r\n display: block;\r\n height: 90px;\r\n width: 90px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-prompt.ugc-upload-file-thumb-prompt {\r\n display: none\r\n}\r\n\r\n.ugc-util-bar-upload-upload-file-media-preview-wrap .ugc-upload-file-media-preview,.ugc-util-bar-upload-upload-file-media-preview-wrap .ugc-upload-file-media-no-preview,.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media {\r\n width: 90px;\r\n height: 90px\r\n}\r\n\r\n.ugc-util-bar-upload-upload-file-media-preview-wrap .ugc-upload-file-media-preview-landscape,.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media.ugc-upload-success-media-landscape {\r\n height: 90px;\r\n width: auto\r\n}\r\n\r\n.ugc-util-bar-upload-upload-file-media-preview-wrap .ugc-upload-file-media-preview-portrait,.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media.ugc-upload-success-media-portrait {\r\n width: 90px;\r\n height: auto\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-error-wrap.ugc-upload-file-thumb-error-wrap {\r\n padding: 10px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-error-heading.ugc-upload-file-thumb-error-heading {\r\n font-size: 11px;\r\n padding-top: 20px;\r\n height: 0;\r\n overflow: hidden;\r\n margin-bottom: 10px\r\n}\r\n\r\n.ugc-util-bar-upload-file-thumb-error-text.ugc-upload-file-thumb-error-text {\r\n font-size: 11px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-posts {\r\n margin-bottom: 20px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-posts-title {\r\n font-size: 14px;\r\n color: #333;\r\n margin-bottom: 16px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-posts-title-headline {\r\n display: block\r\n}\r\n\r\n.ugc-util-bar-flyout-content-disclaimer-short {\r\n color: #969696;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n font-style: italic\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item {\r\n border-bottom: 1px dotted #d0d0d0;\r\n padding: 16px 0;\r\n position: relative\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta {\r\n position: relative;\r\n padding-right: 40px;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n width: 100%\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-text {\r\n font-size: 12px;\r\n color: #666;\r\n list-style-type: none;\r\n margin: 0;\r\n padding: 0;\r\n display: inline-block;\r\n vertical-align: top\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-headline-link.ugc-recent-post-item-meta-headline-link {\r\n color: #333\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-headline-link.ugc-recent-post-item-meta-headline-link:hover {\r\n color: #1a95d2\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-avatar {\r\n margin-right: 10px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-author {\r\n border-right: 1px solid #ccc;\r\n display: inline-block;\r\n padding-right: 8px;\r\n margin-right: 8px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-location {\r\n display: inline-block\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-date {\r\n font-style: italic;\r\n font-size: 11px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-meta-headline {\r\n color: #333;\r\n font-weight: 700;\r\n display: block\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-image {\r\n display: block;\r\n height: 150px;\r\n margin-bottom: 13px;\r\n width: 290px\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-report-abuse-link {\r\n position: absolute;\r\n right: 10px;\r\n top: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-recent-post-item-report-abuse-tooltip-panel {\r\n margin-right: 6px\r\n}\r\n\r\n.ugc-util-bar-flyout-no-recent-posts {\r\n border-bottom: 2px dotted #ccc;\r\n margin-bottom: 15px;\r\n padding-bottom: 15px;\r\n width: 100%\r\n}\r\n\r\n.ugc-util-bar-flyout-be-first-to-contribute-graphic {\r\n background: url(../../images/apps/ugc/ugc-be-first-to-contribute.png) 0 0 no-repeat;\r\n display: block;\r\n width: 290px;\r\n padding-top: 277px;\r\n overflow: hidden;\r\n height: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-description-input {\r\n height: 80px\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-btn,.ugc-util-bar-flyout-cancel-btn {\r\n display: inline-block;\r\n vertical-align: top;\r\n width: 139px\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-btn {\r\n margin-right: 12px\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-header {\r\n font-size: 20px;\r\n padding-left: 40px;\r\n display: table;\r\n vertical-align: top;\r\n height: 31px;\r\n position: relative;\r\n text-align: center;\r\n margin: 0 auto 10px\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-header:before {\r\n content: \"\";\r\n background-image: url(../../images/apps/ugc/ugc-sprite.png);\r\n background-repeat: no-repeat;\r\n display: inline-block;\r\n width: 31px;\r\n height: 31px;\r\n position: absolute;\r\n top: 0;\r\n left: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-header-success:before {\r\n background-position: -31px -94px\r\n}\r\n\r\n.ugc-util-bar-flyout-submit-header-fail:before {\r\n background-position: 0 -94px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-submit-text-wrap {\r\n width: 100%\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-submit-text-primary {\r\n font-size: 12px;\r\n line-height: 16px;\r\n color: #666;\r\n margin-bottom: 20px;\r\n text-align: center\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-submit-text-secondary {\r\n font-size: 14px;\r\n line-height: 16px;\r\n color: #333;\r\n margin-bottom: 20px;\r\n display: block\r\n}\r\n\r\n.ugc-upload-form-submission-text-headline {\r\n display: block\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-view-your-contribution-btn,.ugc-util-bar-flyout-upload-form-contribute-more-btn {\r\n width: 100%;\r\n margin-bottom: 20px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-file {\r\n display: inline-block;\r\n margin-bottom: 10px;\r\n margin-right: 10px;\r\n width: 90px;\r\n height: 90px;\r\n position: relative\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media {\r\n display: block;\r\n height: 90px;\r\n width: 90px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media.ugc-upload-file-media-preview-landscape {\r\n height: 90px;\r\n width: auto\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-media.ugc-upload-file-media-preview-portrait {\r\n width: 90px;\r\n height: auto\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-file.ugc-upload-success-file-empty {\r\n border: 2px dotted #ccc;\r\n border-radius: 3px;\r\n height: 86px;\r\n width: 86px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-success-files .ugc-upload-success-file.ugc-upload-success-file-right {\r\n margin-right: 0\r\n}\r\n\r\n.ugc-util-bar-flyout-disclaimer {\r\n font-size: 12px;\r\n color: #979797;\r\n font-style: italic\r\n}\r\n\r\n.ugc-util-bar-flyout-disclaimer-short {\r\n display: block\r\n}\r\n\r\n.ugc-util-bar-flyout-disclaimer-long {\r\n display: none\r\n}\r\n\r\n.ugc-util-upload-form-share-your-contribution-btn,.ugc-util-upload-form-contribute-more-btn {\r\n width: 285px\r\n}\r\n\r\n.ugc-utility-upload-form-view-your-contribution-text {\r\n text-decoration: underline\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-logging-in-heading,.ugc-util-bar-flyout-upload-form-login-fail-heading {\r\n font-size: 18px;\r\n font-weight: 700;\r\n color: #191919;\r\n text-shadow: 0 1px 0 #f5f5f5;\r\n margin: 0 0 10px;\r\n position: relative\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-view-logging-in-container,.ugc-util-bar-flyout-upload-form-view-login-fail-container {\r\n padding: 52px;\r\n width: 100%;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n text-align: center\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-login-fail-heading {\r\n padding-top: 46px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-login-fail-heading:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat -156px -183px;\r\n content: \"\";\r\n display: block;\r\n height: 42px;\r\n margin: 0 auto;\r\n width: 42px;\r\n position: absolute;\r\n top: 0;\r\n left: 50%;\r\n margin-left: -21px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-login-fail-text,.ugc-util-bar-flyout-upload-form-logging-in-text {\r\n font-size: 12px;\r\n color: #666\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-login-fail-try-again {\r\n color: #0af;\r\n cursor: pointer;\r\n display: inline-block;\r\n font-size: 12px\r\n}\r\n\r\n.ugc-util-bar-flyout-upload-form-login-fail-try-again:hover {\r\n color: #007acc\r\n}\r\n\r\n.social-share-modal-window {\r\n margin-left: 0\r\n}\r\n\r\n.sports-syndication-hero-module .hero-3up-tile {\r\n overflow: hidden\r\n}\r\n\r\n.sports-syndication-hero-module img {\r\n margin: 0 auto;\r\n display: block\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .image-wrap.logo,.sports-syndication-headline-grid-module .headline-asset-item-front {\r\n background-image: url(../../images/sports/sp-head-noise.png)\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .headline-asset-item-front {\r\n background-image: none\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-1 .image-wrap {\r\n margin: 0 -360px;\r\n width: 1440px;\r\n height: 405px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-2 .image-wrap,.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-3 .image-wrap {\r\n width: 200px;\r\n height: 113px;\r\n position: absolute;\r\n margin: 20px 20px 7px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-1 img.image {\r\n min-width: 720px;\r\n min-height: 405px;\r\n max-width: 1000px;\r\n max-height: 1440px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-1 img.logo {\r\n width: 330px;\r\n height: 330px;\r\n padding-top: 35px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-2 img.image,.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-3 img.image {\r\n width: 200px;\r\n min-height: 113px;\r\n position: absolute;\r\n clip: rect(0,200px,113px,0)\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-2 img.logo,.stagfront-primary.sports-syndication-hero-module .hero-3up-tile-3 img.logo {\r\n width: 80px;\r\n height: 80px;\r\n margin: 20px 60px 7px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-hero-module .stagfront-hero-3up-text-2,.stagfront-primary.sports-syndication-hero-module .stagfront-hero-3up-text-3 {\r\n top: 133px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module .hero-3up-tile-1 .image-wrap {\r\n margin-left: -240px;\r\n width: 960px;\r\n height: 480px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module .hero-3up-tile-2 .image-wrap,.card-primary.sports-syndication-hero-module .hero-3up-tile-3 .image-wrap {\r\n margin-left: -120px;\r\n width: 480px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module img.hero-3up-image-1.image {\r\n min-width: 480px;\r\n min-height: 480px;\r\n max-width: 960px;\r\n max-height: 960px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module img.hero-3up-image-1.logo {\r\n height: 250px;\r\n width: auto;\r\n padding-top: 100px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module img.hero-3up-image-2.image,.card-primary.sports-syndication-hero-module img.hero-3up-image-3.image {\r\n min-width: 240px;\r\n min-height: 240px;\r\n max-width: 440px;\r\n max-height: 440px\r\n}\r\n\r\n.card-primary.sports-syndication-hero-module img.hero-3up-image-2.logo,.card-primary.sports-syndication-hero-module img.hero-3up-image-3.logo {\r\n max-height: 110px;\r\n padding-top: 30px\r\n}\r\n\r\n.sports-syndication-headline-grid-module .sp-filters {\r\n margin-top: -4px;\r\n background-color: #1e1e1e;\r\n background-image: url(../../images/sports/sp-filter-bar-noise.png);\r\n color: #fff\r\n}\r\n\r\n.sports-syndication-headline-grid-module .sp-filter-scroll-wrap {\r\n z-index: 100\r\n}\r\n\r\n.sports-syndication-headline-grid-module .image-wrap {\r\n margin-left: -100px;\r\n width: 400px\r\n}\r\n\r\n.stagfront-primary.sports-syndication-headline-grid-module {\r\n background: url(../../images/patterns/light-grey-linen.jpg);\r\n border-bottom: 1px solid #cfcfcf\r\n}\r\n\r\n.sports-syndication-headline-grid-module .headline-grid-image {\r\n -webkit-transform: translate3d(0,0,0);\r\n margin-left: auto;\r\n margin-right: auto;\r\n display: block\r\n}\r\n\r\n.sports-syndication-headline-grid-module .headline-grid-image.image {\r\n min-width: 200px;\r\n min-height: 220px;\r\n max-width: 310px;\r\n max-height: 330px\r\n}\r\n\r\n.sports-syndication-headline-grid-module .headline-grid-image.logo {\r\n height: 110px;\r\n margin-top: 30px\r\n}\r\n\r\n.sports-syndication-headline-grid-module .headline-asset-item-back-title {\r\n max-height: 4.2em\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .image-wrap {\r\n margin-left: 0;\r\n width: 80px\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .headline-grid-image.image {\r\n min-width: 80px;\r\n min-height: 88px;\r\n max-width: 160px;\r\n max-height: 160px;\r\n margin-left: -20px;\r\n width: auto;\r\n height: auto\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .headline-grid-image.logo {\r\n width: 80px;\r\n height: 80px;\r\n margin-top: 0\r\n}\r\n\r\n.sports-syndication-headline-grid-module .sp-loading-details {\r\n background-color: transparent;\r\n background-image: url(../../images/preloaders/windmill-loader_2x_light.gif);\r\n background-position: center;\r\n background-repeat: no-repeat;\r\n min-height: 85px\r\n}\r\n\r\n.sports-syndication-hero-module .image-wrap.SEC,.sports-syndication-headline-grid-module .SEC {\r\n background-color: #004b8d\r\n}\r\n\r\n.sports-syndication-hero-module .hero-3up-media.action-sports-tile,.sports-syndication-headline-grid-module .action-sports-tile {\r\n background-color: #d3d3d3;\r\n background-image: none\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .hasLogoImage .headline-asset-item-back .headline-asset-item-back-title,.sports-syndication-headline-grid-module .listview .hasLogoImage .headline-asset-item-back .headline-asset-item-back-listview-text,.sports-syndication-headline-grid-module .listview .hasLogoImage .headline-asset-item-back .headline-asset-item-meta {\r\n margin-left: 0\r\n}\r\n\r\n.sports-syndication-headline-grid-module .listview .hasLogoImage .headline-asset-item-front.tile .image-wrap {\r\n display: none\r\n}\r\n\r\n.ppm-wrap {\r\n background: #fff;\r\n height: 100px;\r\n padding: 40px;\r\n position: relative\r\n}\r\n\r\n.ui-btn.ppm-link {\r\n bottom: 0;\r\n left: 120px;\r\n position: absolute\r\n}\r\n\r\n.ppm-link,.ppm-link:visited {\r\n color: #333\r\n}\r\n\r\n.ppm-game-crossword,.ppm-game-sudoku {\r\n display: inline-block;\r\n float: left;\r\n height: 100px;\r\n position: relative;\r\n width: 300px\r\n}\r\n\r\n.ppm-game-crossword {\r\n width: 330px\r\n}\r\n\r\n.ppm-title {\r\n color: #333;\r\n font: 400 16px Helvetica,Arial,sans-serif;\r\n line-height: 18px;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.ppm-img-crossword,.ppm-img-sudoku {\r\n background: url(../../images/sprites/puzzles.png) no-repeat 0 0;\r\n float: left;\r\n height: 100px;\r\n margin: 0 20px 0 0;\r\n text-indent: -9999px;\r\n width: 100px\r\n}\r\n\r\n.ppm-img-sudoku {\r\n background-position: -100px 0\r\n}\r\n\r\n.ppm-meta-title {\r\n color: #999;\r\n font: 400 14px Helvetica,Arial,san-serif;\r\n line-height: 18px\r\n}\r\n\r\n.ppm-meta-title-crossword {\r\n font-style: italic\r\n}\r\n\r\n.ppm-meta-author {\r\n color: #999;\r\n font: 400 11px Helvetica,Arial,san-serif;\r\n line-height: 18px;\r\n margin-top: 4px\r\n}\r\n\r\n.ppm-meta-difficulty {\r\n margin-right: 3px\r\n}\r\n\r\n.ppm-meta-star {\r\n background: url(../../images/sprites/puzzles.png) no-repeat -13px -100px;\r\n display: inline-block;\r\n margin-right: 1px;\r\n height: 13px;\r\n position: relative;\r\n top: 1px;\r\n width: 13px\r\n}\r\n\r\n.ppm-meta-star-filled {\r\n background-position: 0 -100px\r\n}\r\n\r\n.more-section-stories-list {\r\n border: 0;\r\n margin: -10px 0 0 -10px;\r\n padding: 0\r\n}\r\n\r\n.more-section-stories-item {\r\n border: 0;\r\n border-top: 1px dashed #e6e6e6;\r\n min-height: 80px;\r\n padding: 20px;\r\n list-style: none\r\n}\r\n\r\n.more-section-stories-image-link {\r\n float: left;\r\n width: 100px\r\n}\r\n\r\n.more-section-stories-item.first {\r\n border-top: 0\r\n}\r\n\r\n.more-section-stories-headline {\r\n font-size: 14px;\r\n margin: 0;\r\n text-align: left\r\n}\r\n\r\n.more-section-stories-headline a {\r\n color: #333\r\n}\r\n\r\n.more-section-stories-meta {\r\n color: #989898;\r\n font-weight: 700;\r\n font-size: 11px;\r\n margin-top: 5px;\r\n text-align: left\r\n}\r\n\r\n.more-section-stories-usat-network {\r\n color: #fff;\r\n display: inline-block;\r\n font: 14px 'Futura Today Bold',arial,sans-serif;\r\n text-transform: uppercase\r\n}\r\n\r\n.more-section-stories-usat-network:before {\r\n background: url(../../images/sprites/usat-network.png) 0 -18px no-repeat;\r\n content: '';\r\n float: left;\r\n height: 18px;\r\n margin-right: 3px;\r\n width: 18px\r\n}\r\n\r\n.leaderboard-ad-module {\r\n padding-left: 70px\r\n}\r\n\r\n.storybottombar-bucket.leaderboard-ad-module {\r\n clear: both;\r\n margin-bottom: 10px\r\n}\r\n\r\n.leaderboard-ad {\r\n padding: 0;\r\n width: 750px;\r\n margin: 20px auto 0\r\n}\r\n\r\n.leaderboard-ad>.ad-slot>iframe {\r\n margin: 0 auto\r\n}\r\n\r\n.leaderboard-ad-module.card-full-width {\r\n padding: 0\r\n}\r\n\r\n.leaderboard-ad-module.card-full-width>.leaderboard-ad {\r\n padding: 0;\r\n margin: 40px auto 0\r\n}\r\n\r\n#videoplayer_modal.video-modal {\r\n background: #000;\r\n position: fixed;\r\n top: 30%;\r\n left: 30%;\r\n z-index: 1001;\r\n box-shadow: 0 3px 8px rgba(0,0,0,.5)\r\n}\r\n\r\n#videoplayer_modal.video-modal .video-header .video-logo {\r\n position: relative;\r\n height: 32px;\r\n margin-left: 10px;\r\n float: left\r\n}\r\n\r\n#videoplayer_modal .video-logo {\r\n max-width: 150px\r\n}\r\n\r\n#videoplayer_modal.video-modal .video-header {\r\n width: 100%;\r\n height: 40px;\r\n padding: 5px 0\r\n}\r\n\r\n#videoplayer_modal .video-text {\r\n float: left;\r\n margin-left: 15px;\r\n text-overflow: ellipsis;\r\n -o-text-overflow: ellipsis;\r\n -ms-text-overflow: ellipsis;\r\n white-space: nowrap;\r\n height: 20px;\r\n overflow: hidden;\r\n max-width: 380px;\r\n color: #fff\r\n}\r\n\r\n#videoplayer_modal.video-modal .video-title {\r\n font-size: 18px;\r\n color: #fff;\r\n font-family: Arial,Helvetica,sans-serif;\r\n line-height: 20px\r\n}\r\n\r\n#videoplayer_modal .sponsor-text {\r\n font-size: 12px;\r\n color: #fff;\r\n font-family: Arial,Helvetica,sans-serif;\r\n line-height: 12px\r\n}\r\n\r\n#videoplayer_modal.video-modal .close-btn {\r\n cursor: pointer;\r\n text-indent: -9000px;\r\n background: url(../../images/buttons/button-close.png) no-repeat left top;\r\n width: 50px;\r\n height: 48px;\r\n display: inline-block;\r\n position: absolute;\r\n top: -18px;\r\n right: -31px\r\n}\r\n\r\n.lightbox {\r\n display: none;\r\n background: #000;\r\n opacity: .7;\r\n filter: alpha(opacity=70);\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n min-width: 100%;\r\n min-height: 100%;\r\n z-index: 1000\r\n}\r\n\r\n.flight-tracker-asset {\r\n min-height: 960px\r\n}\r\n\r\n.flight-tracker-double-wide {\r\n float: left;\r\n margin-left: 10px;\r\n position: relative;\r\n width: 600px\r\n}\r\n\r\n.flight-tracker-map {\r\n background-color: #e8e8e8;\r\n height: 370px;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.flight-tracker-formwrapper {\r\n color: rbg(51,51,51);\r\n font: 14px/22px arial,sans-serif;\r\n margin: 20px 0 20px 60px\r\n}\r\n\r\n.flight-tracker-search-btn {\r\n display: inline-block;\r\n margin-right: 20px;\r\n text-align: center\r\n}\r\n\r\n.flight-tracker-submit-btn {\r\n width: 160px\r\n}\r\n\r\n.flight-tracker-flight-form-wrap,.flight-tracker-route-form-wrap,.flight-tracker-airport-form-wrap {\r\n display: none\r\n}\r\n\r\n.flight-tracker-form-active {\r\n display: block\r\n}\r\n\r\n.flight-tracker-input {\r\n width: 100%\r\n}\r\n\r\n.flight-tracker-input.input-error {\r\n background-color: #f0dddd\r\n}\r\n\r\n.flight-tracker-form-field-container {\r\n margin-top: 25px;\r\n position: relative\r\n}\r\n\r\n.flight-tracker-radio-spacer {\r\n padding: 0 10px\r\n}\r\n\r\n.flight-tracker-time {\r\n margin-top: 15px;\r\n width: 160px\r\n}\r\n\r\n.flight-tracker-sponsor {\r\n background: url(../../images/travel/flightstats-logo.png) no-repeat;\r\n display: inline-block;\r\n float: right;\r\n height: 26px;\r\n width: 171px\r\n}\r\n\r\n.flight-tracker-sponsor-map {\r\n background: url(../../images/travel/flightstats-logo.png) no-repeat;\r\n bottom: 10px;\r\n display: inline-block;\r\n height: 26px;\r\n left: 20px;\r\n position: absolute;\r\n width: 171px\r\n}\r\n\r\n.flight-tracker-results {\r\n float: left;\r\n margin-top: 25px;\r\n width: 520px\r\n}\r\n\r\n.flight-tracker-results .error,.flight-tracker-results .search-title {\r\n font-size: 18px;\r\n font-weight: 700;\r\n margin-bottom: 5px\r\n}\r\n\r\n.flight-tracker-results-wrap {\r\n border: 1px solid #f0f0f0;\r\n border-collapse: collapse;\r\n font-size: 11px;\r\n margin-bottom: 50px;\r\n table-layout: fixed;\r\n width: 100%\r\n}\r\n\r\n.flight-tracker-results-wrap thead {\r\n background-color: #959594;\r\n color: #fff;\r\n padding: 5px 10px\r\n}\r\n\r\n.flight-tracker-results-wrap thead th {\r\n border: 1px solid #9e9e9d;\r\n padding: 8px\r\n}\r\n\r\n.flight-tracker-results-wrap tbody th {\r\n background: #fafafb;\r\n font-weight: 400;\r\n padding: 8px;\r\n text-align: left\r\n}\r\n\r\n.flight-tracker-results-wrap tbody tr td {\r\n border-right: 1px solid #f6f6f6;\r\n border-top: 1px solid #f6f6f6;\r\n line-height: 1.25em;\r\n padding: 6px;\r\n vertical-align: baseline\r\n}\r\n\r\n.flight-tracker-results-wrap tbody tr:hover {\r\n background: #fff\r\n}\r\n\r\n.flight-trackertbody tr:hover td {\r\n color: #454545\r\n}\r\n\r\n.flight-tracker-results-wrap a:link {\r\n color: #666668\r\n}\r\n\r\n.flight-tracker-results-wrap a:visited {\r\n color: #666668\r\n}\r\n\r\n.flight-tracker-asset .delay-bar {\r\n background: url(../../images/travel/flight-tracker-indicator.png) no-repeat;\r\n height: 24px;\r\n left: 15px;\r\n position: absolute;\r\n top: 37px;\r\n width: 11px\r\n}\r\n\r\n.flight-tracker-asset .d5 {\r\n left: 155px\r\n}\r\n\r\n.flight-tracker-asset .d4 {\r\n left: 124px\r\n}\r\n\r\n.flight-tracker-asset .d3 {\r\n left: 102px\r\n}\r\n\r\n.flight-tracker-asset .d2 {\r\n left: 62px\r\n}\r\n\r\n.flight-tracker-asset .d1 {\r\n left: 40px\r\n}\r\n\r\n.flight-tracker-popup-btn {\r\n background-color: #666;\r\n border-radius: 2px;\r\n bottom: 27px;\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n font-size: 10px;\r\n height: 17px;\r\n padding-top: 4px;\r\n position: absolute;\r\n text-align: center;\r\n width: 65px\r\n}\r\n\r\n.flight-tracker-asset .arr {\r\n left: 18px\r\n}\r\n\r\n.flight-tracker-asset .dep {\r\n left: 97px\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup {\r\n position: absolute\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content-wrapper {\r\n padding: 1px\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content {\r\n margin: 0\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-close-button {\r\n display: none\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content p {\r\n margin: 18px 0\r\n}\r\n\r\n.flight-tracker-asset .flight-tracker-search-btn {\r\n width: 160px\r\n}\r\n\r\n.flight-tracker-asset .popup {\r\n line-height: 12px;\r\n padding-top: 7px;\r\n text-align: center\r\n}\r\n\r\n.flight-tracker-asset .leaflet-zoom-box {\r\n background: #fff;\r\n border: 2px dotted #05f;\r\n opacity: .5\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content-wrapper,.flight-tracker-asset .leaflet-popup-tip {\r\n background: rgba(0,0,0,.8);\r\n box-shadow: 0 1px 10px #888\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content-wrapper {\r\n border-radius: 0\r\n}\r\n\r\n.flight-tracker-asset .leaflet-popup-content {\r\n background: url(../../images/travel/flight-tracker-popup-bg.png) no-repeat;\r\n color: #fff;\r\n font: 12px/1.4 Arial,Helvetica,sans-serif;\r\n height: 100px;\r\n width: 181px\r\n}\r\n\r\n.flight-tracker-front-module {\r\n background-color: #fafafa;\r\n border-bottom: 1px solid #d8d8d8;\r\n overflow: visible;\r\n padding: 10px 15px 30px;\r\n width: 719px;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-formwrapper {\r\n display: inline-block;\r\n min-height: 225px;\r\n margin: 0;\r\n width: 370px\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-front-map {\r\n background: url(http://mobileflighttrackerimages.flightstats.com/maps/DERIVED_NORTH_AMERICA_NO_HEADER_300BY210.png) no-repeat scroll 0 0 transparent;\r\n display: inline-block;\r\n float: right;\r\n height: 210px;\r\n text-indent: -9999px;\r\n width: 300px\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-title {\r\n color: #333;\r\n font: 400 13px/25px 'Futura Today Bold',arial,sans-serif;\r\n line-height: 1.1em;\r\n text-transform: uppercase\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-search-btn {\r\n margin-right: 10px;\r\n width: 110px\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-ui-text-input {\r\n width: 350px\r\n}\r\n\r\n.flight-tracker-front-module .flight-tracker-time .ui-dropdown-items-container {\r\n left: 0;\r\n margin: 0;\r\n padding-left: 0\r\n}\r\n\r\n.flight-tracker-results-wrap .expand-arrow {\r\n background: url(../../images/travel/flight-tracker-arrow.png) no-repeat;\r\n display: inline-block;\r\n height: 21px;\r\n margin: 0 5px -5px 0;\r\n width: 21px\r\n}\r\n\r\n.flight-tracker-results-wrap .expand-arrow.active {\r\n background: url(../../images/travel/flight-tracker-arrow-expanded.png) no-repeat\r\n}\r\n\r\n.flight-tracker-results-wrap .depart {\r\n float: left;\r\n line-height: 1.5em;\r\n width: 50%\r\n}\r\n\r\n.flight-tracker-results-wrap .arrive {\r\n float: right;\r\n line-height: 1.5em;\r\n width: 49%\r\n}\r\n\r\n.flight-tracker-results-wrap .flightinfo {\r\n float: left;\r\n font-weight: 700;\r\n height: 35px;\r\n padding-bottom: 10px;\r\n width: 390px\r\n}\r\n\r\n.flight-tracker-results-wrap .flighticon {\r\n display: inline-block;\r\n float: right;\r\n text-align: center;\r\n width: 75px\r\n}\r\n\r\n.flight-tracker-results-wrap .flighticon:before {\r\n background: url(../../images/travel/flight-tracker-results-sprite.png) 0 0 no-repeat;\r\n content: \"\";\r\n display: block;\r\n height: 24px;\r\n margin: 0 auto;\r\n width: 24px\r\n}\r\n\r\n.flight-tracker-results-wrap .flighticon.red:before {\r\n background-position: 0 -48px\r\n}\r\n\r\n.flight-tracker-results-wrap .flighticon.yellow:before {\r\n background-position: 0 -25px\r\n}\r\n\r\n.ft-sm-icons {\r\n background: url(../../images/travel/flight-tracker-sm-results-sprite.png) 0 0 no-repeat;\r\n display: block;\r\n float: left;\r\n height: 9px;\r\n margin: 5px 10px 5px 0;\r\n width: 9px\r\n}\r\n\r\n.ft-sm-icons.red {\r\n background-position: 0 -20px\r\n}\r\n\r\n.ft-sm-icons.yellow {\r\n background-position: 0 -10px\r\n}\r\n\r\n.flight-tracker-form-field-container .ui-text-input {\r\n padding: 6px\r\n}\r\n\r\n.ft-variant-a {\r\n background-color: #f8f8f8;\r\n padding: 40px 20px 20px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-formwrapper {\r\n min-height: 150px;\r\n width: 430px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-title {\r\n display: none\r\n}\r\n\r\n.ft-variant-a .flight-tracker-front-map {\r\n height: 150px;\r\n width: 245px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-form-field-container {\r\n display: inline-block;\r\n float: left;\r\n width: 202px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-form-field-container:first-child {\r\n margin-right: 13px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-input {\r\n padding: 7px 11px;\r\n border-color: #e4e4e4;\r\n width: 100%\r\n}\r\n\r\n.ft-variant-a .flight-tracker-flight-number {\r\n width: 120px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-search-btn-container {\r\n clear: both;\r\n float: none;\r\n width: 100%\r\n}\r\n\r\n.ft-variant-a .flight-tracker-search-btn,.ft-variant-a .flight-tracker-search-btn:hover {\r\n background: url(../../images/travel/flight-tracker-radial-sprite.png) no-repeat scroll 0 -10px transparent;\r\n border: 0;\r\n border-radius: 0;\r\n box-shadow: none;\r\n color: #333;\r\n font-size: 13px;\r\n font-weight: 400;\r\n height: auto;\r\n margin: 0 23px 0 0;\r\n padding: 0 0 0 23px;\r\n text-shadow: none;\r\n width: auto\r\n}\r\n\r\n.ft-variant-a .flight-tracker-search-btn.active,.ft-variant-a .flight-tracker-search-btn.active:hover {\r\n background: url(../../images/travel/flight-tracker-radial-sprite.png) no-repeat scroll 0 -50px transparent;\r\n color: #333;\r\n border: 0;\r\n box-shadow: none\r\n}\r\n\r\n.ft-variant-a .flight-tracker-submit-btn {\r\n border-color: #bbb;\r\n height: 30px;\r\n width: 100px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-sponsor {\r\n position: absolute;\r\n bottom: 32px;\r\n left: 266px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-radio-container {\r\n font-size: 11px;\r\n top: 4px\r\n}\r\n\r\n.ft-variant-a .flight-tracker-dropdown-container {\r\n display: none\r\n}\r\n\r\n.aside .ui-dropdown-item-link {\r\n display: inline-block;\r\n width: auto\r\n}\r\n\r\n.asset-double-wide .ui-dropdown-items-container {\r\n left: 0;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.books-stories-meta-title,.books-front-meta-title {\r\n color: #1a1a1a;\r\n font-size: 16px;\r\n font-weight: 700\r\n}\r\n\r\n.books-stories-meta-title {\r\n margin: 0 0 10px\r\n}\r\n\r\n.books-front-meta-title {\r\n margin: 0 0 5px\r\n}\r\n\r\n.books-stories-meta-genre,.books-stories-meta-publisher,.books-stories-meta-author,.books-front-meta-publisher,.books-front-meta-genre,.books-front-meta-debut {\r\n color: #333;\r\n font-size: 11px;\r\n font-weight: 700;\r\n margin: 5px 0\r\n}\r\n\r\n.books-front-meta-genre {\r\n border-right: 1px solid #c8c8c8;\r\n display: inline-block;\r\n padding: 0 5px 0 0\r\n}\r\n\r\n.books-stories-meta-publisher {\r\n margin-left: 15px\r\n}\r\n\r\n.books-front-meta-debut {\r\n display: inline-block;\r\n padding: 0 0 0 5px\r\n}\r\n\r\n.books-front-meta-author {\r\n color: #333;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 21px;\r\n margin: 5px 0;\r\n vertical-align: 50%\r\n}\r\n\r\n.asset-double-wide .article-metadata-wrap .books-meta-comp {\r\n margin-top: -5px;\r\n position: static\r\n}\r\n\r\n.books--meta-lead-in,.books-meta-lead-in,.books-front-meta-lead-in {\r\n color: #666;\r\n font-size: 12px;\r\n font-style: italic;\r\n font-weight: lighter;\r\n margin-right: 3px\r\n}\r\n\r\n.books-front-meta-lead-in {\r\n vertical-align: top\r\n}\r\n\r\n.books-stories-meta-short,.books-front-meta-short {\r\n color: #666;\r\n font-size: 12px;\r\n line-height: 20px\r\n}\r\n\r\n.books-buy-button {\r\n background: #38b4ff;\r\n background: -moz-linear-gradient(top,rgba(56,180,255,1) 0,rgba(34,161,248,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(56,180,255,1)),color-stop(100%,rgba(34,161,248,1)));\r\n background: -webkit-linear-gradient(top,rgba(56,180,255,1) 0,rgba(34,161,248,1) 100%);\r\n background: -o-linear-gradient(top,rgba(56,180,255,1) 0,rgba(34,161,248,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(56,180,255,1) 0,rgba(34,161,248,1) 100%);\r\n background: linear-gradient(to bottom,rgba(56,180,255,1) 0,rgba(34,161,248,1) 100%);\r\n background-color: #21acff;\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#38B4FF', endColorstr='#22A1F8', GradientType=0)\r\n}\r\n\r\n.books-buy-button {\r\n border: 1px solid #149cee;\r\n border-radius: 3px;\r\n box-shadow: 0 1px 1px rgba(0,0,0,.2);\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n font-family: Arial,helvetica,sans-serif;\r\n font-size: 13px;\r\n height: 28px;\r\n padding: 0 10px;\r\n position: relative;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.1);\r\n width: 120px\r\n}\r\n\r\n.books-buy-button>.books-dropdown {\r\n color: #fff;\r\n float: left;\r\n padding: 0 8px 0 0\r\n}\r\n\r\n.books-buy-button .ui-dropdown-item {\r\n color: #333\r\n}\r\n\r\n.books-buy-button .ui-dropdown-value {\r\n line-height: 28px\r\n}\r\n\r\n.books-buy-button>.books-dropdown:after {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -18px 7px no-repeat;\r\n border-left: 1px solid #fff;\r\n content: '';\r\n display: block;\r\n height: 28px;\r\n position: absolute;\r\n right: 1px;\r\n top: 0;\r\n width: 22px;\r\n z-index: 1\r\n}\r\n\r\n.books-dropdown-content {\r\n background: #fefefe;\r\n border: 1px solid #e6e6e6;\r\n box-shadow: inset 0 1px 0 #f5f5f5,0 2px 3px rgba(0,0,0,.5);\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n left: -11px;\r\n position: relative;\r\n width: 142px;\r\n z-index: 100\r\n}\r\n\r\n.front-books-header-image {\r\n float: left\r\n}\r\n\r\n.front-booklist-page-container {\r\n float: left;\r\n height: 100%;\r\n margin: 20px;\r\n min-height: 740px;\r\n width: 680px\r\n}\r\n\r\n.front-booklist-info-container {\r\n border-bottom: 1px dotted #c8c8c8;\r\n float: left;\r\n margin: 20px 0 0;\r\n padding: 10px 5px 30px 10px;\r\n width: 655px\r\n}\r\n\r\n.booklist-last-book {\r\n border-bottom: 0\r\n}\r\n\r\n.front-booklist-return {\r\n color: #333;\r\n float: left;\r\n font: 400 14px/20px arial,sans-serif;\r\n font-weight: 700;\r\n padding: 0 20px;\r\n width: 300px\r\n}\r\n\r\n.front-booklist-return:before {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -43px -84px no-repeat;\r\n content: '';\r\n display: block;\r\n float: left;\r\n height: 17px;\r\n margin: 2px 5px 0 0;\r\n width: 17px\r\n}\r\n\r\n.front-booklist-form-container {\r\n border-top: 1px solid #c8c8c8;\r\n float: left;\r\n height: 60px;\r\n padding-top: 20px;\r\n width: 680px\r\n}\r\n\r\n.front-booklist-form-container .ui-radio {\r\n padding: 0 20px 0 24px\r\n}\r\n\r\n.front-booklist-form-container:after {\r\n border-top: 1px solid #c8c8c8;\r\n content: '';\r\n float: left;\r\n height: 30px;\r\n margin-top: 20px;\r\n width: 680px\r\n}\r\n\r\n.front-booklist-submit {\r\n background-color: #333;\r\n border: 0;\r\n border-radius: 5px;\r\n color: #fff;\r\n display: inline-block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n height: 30px;\r\n margin-left: 20px;\r\n width: 45px\r\n}\r\n\r\n.front-booklist-radio-button {\r\n display: inline-block\r\n}\r\n\r\n.front-booklist-form-container .front-booklist-radio-button {\r\n margin-right: .5em\r\n}\r\n\r\n.front-booklist-text-box {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -92px 1px no-repeat;\r\n display: inline;\r\n margin-left: 55px;\r\n padding: 3px 0 0 30px;\r\n width: 225px\r\n}\r\n\r\n.front-booklist-radio-label {\r\n display: inline;\r\n padding: 0 10px 0 0\r\n}\r\n\r\n.front-booklist-filter-label {\r\n color: #666;\r\n cursor: pointer;\r\n display: block;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 21px;\r\n margin-left: 3px;\r\n vertical-align: middle\r\n}\r\n\r\n.front-booklist-page-description {\r\n color: #333;\r\n float: left;\r\n font: 400 13px/20px 'Futura Today',arial,sans-serif;\r\n padding: 20px 0 13px 20px;\r\n width: 310px\r\n}\r\n\r\na.booklist-pdf-link {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(63,63,63,.18) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(63,63,63,.18)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(63,63,63,.18) 100%);\r\n background: -o-linear-gradient(top,rgba(0,0,0,0) 0,rgba(63,63,63,.18) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(63,63,63,.18) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(63,63,63,.18) 100%);\r\n border: 1px solid #cacaca;\r\n box-shadow: 1px 1px 1px #666;\r\n color: #666;\r\n float: right;\r\n font: 400 11px/12px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 0 10px 20px 0;\r\n padding: 7px 5px 0 0;\r\n text-transform: uppercase\r\n}\r\n\r\na.booklist-pdf-link:before {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -72px -1px;\r\n border-right: 1px solid #cacaca;\r\n content: '';\r\n display: block;\r\n float: left;\r\n height: 25px;\r\n margin: -7px 5px 0 0;\r\n width: 22px\r\n}\r\n\r\n.front-booklist-image {\r\n float: left;\r\n margin: 0\r\n}\r\n\r\n.front-booklist-number {\r\n color: #21acff;\r\n float: left;\r\n font: 400 45px/35px 'Futura Today Bold',arial,sans-serif;\r\n margin: 50px 0 0 -30px;\r\n text-align: center;\r\n text-shadow: rgba(0,0,0,.1) -1px 0,rgba(0,0,0,.6) 0 -1px,rgba(255,255,255,.1) 1px 0,rgba(255,255,255,.6) 0 1px,rgba(0,0,0,.1) -1px -1px,rgba(255,255,255,.6) 1px 1px;\r\n width: 95px\r\n}\r\n\r\n.front-booklist-info-text {\r\n float: left;\r\n margin: 0 40px 0 20px;\r\n width: 325px\r\n}\r\n\r\n.booklist-last-week,.booklist-best-week {\r\n background-color: #f0f0f0;\r\n box-shadow: inset 0 1px 2px 0 #8c8c8c;\r\n float: left;\r\n height: 30px;\r\n padding: 8px 0;\r\n width: 105px\r\n}\r\n\r\n.booklist-week-count {\r\n float: left;\r\n height: 30px;\r\n padding: 8px 0;\r\n width: 100px\r\n}\r\n\r\n.book-right-descriptor {\r\n color: #666;\r\n display: inline-block;\r\n font: 400 10px/12px 'Futura Today',arial,sans-serif;\r\n width: 36px\r\n}\r\n\r\n.book-last-week-number,.book-last-week-count,.book-best-week-number {\r\n color: #666;\r\n display: inline-block;\r\n font: 400 24px/30px 'Futura Today Bold',arial,sans-serif;\r\n margin: 0;\r\n padding-right: 6px;\r\n text-align: right;\r\n width: 56px\r\n}\r\n\r\n.booklist-pound {\r\n color: #666;\r\n font: 400 12px 'Futura Today Bold',arial,sans-serif;\r\n vertical-align: super\r\n}\r\n\r\n.front-booklist-image-rating-container {\r\n float: left;\r\n width: 100px\r\n}\r\n\r\n.front-booklist-rating {\r\n clear: left;\r\n float: right;\r\n width: 192px\r\n}\r\n\r\n.front-booklist-rating-text {\r\n color: #333;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 22px;\r\n text-align: center\r\n}\r\n\r\n.comp.booklist-comp {\r\n float: left;\r\n width: 130px\r\n}\r\n\r\n.front-booklist-star-holder {\r\n float: left;\r\n margin: 0;\r\n width: 80px\r\n}\r\n\r\n.booklist-stars {\r\n margin: 0 5px\r\n}\r\n\r\n.booklist-stars,.booklist-stars .book-rating {\r\n background: url(../../images/book-reviews/stars.png) 0 -16px repeat-x;\r\n display: block;\r\n float: left;\r\n height: 16px;\r\n width: 80px\r\n}\r\n\r\n.booklist-stars .book-rating {\r\n background-position: 0 0\r\n}\r\n\r\n.booklist-stars .book-stars-5 {\r\n width: 11px\r\n}\r\n\r\n.booklist-stars .book-stars-1 {\r\n width: 21px\r\n}\r\n\r\n.booklist-stars .book-stars-15 {\r\n width: 31px\r\n}\r\n\r\n.booklist-stars .book-stars-2 {\r\n width: 41px\r\n}\r\n\r\n.booklist-stars .book-stars-25 {\r\n width: 51px\r\n}\r\n\r\n.booklist-stars .book-stars-3 {\r\n width: 57px\r\n}\r\n\r\n.booklist-stars .book-stars-35 {\r\n width: 70px\r\n}\r\n\r\n.booklist-stars .book-stars-4 {\r\n width: 80px\r\n}\r\n\r\n.booksish-excerpt-button {\r\n margin: 10px 10px 0 0;\r\n text-align: right;\r\n width: 140px\r\n}\r\n\r\n.article-metadata-wrap .booksish-excerpt-button {\r\n width: 133px\r\n}\r\n\r\n.ui-btn.booksish-excerpt-button-text {\r\n border: 1px solid #969696;\r\n box-shadow: 1px 1px 1px #969696;\r\n display: inline-block;\r\n font: 400 12px/12px Arial,sans-serif;\r\n font-weight: 700;\r\n padding: 0 6px\r\n}\r\n\r\n.booksish-excerpt-button-text:after {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -50px -4px no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 15px;\r\n margin: 5px 0 0 10px;\r\n width: 15px\r\n}\r\n\r\n.booksish-excerpt-subtitle {\r\n color: #333;\r\n display: inline-block;\r\n font: 400 11px/12px Arial,sans-serif\r\n}\r\n\r\n.booksish-excerpt-subtitle>.link-to-bookish {\r\n color: #333;\r\n font: 400 11px/12px Arial,sans-serif;\r\n font-weight: 700\r\n}\r\n\r\n.booksthreeup-primary-module {\r\n background: #fff\r\n}\r\n\r\n.module-three-book-wrap {\r\n float: left;\r\n margin-top: 20px;\r\n width: 410px\r\n}\r\n\r\n.module-book-wrap {\r\n float: left;\r\n height: 100%;\r\n margin: 0 15px;\r\n width: 100px\r\n}\r\n\r\n.module-book-image-number {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -92px -62px;\r\n color: #fff;\r\n display: block;\r\n font: 400 24px/40px 'Futura Today bold',arial,sans-serif;\r\n height: 40px;\r\n margin-left: 30px;\r\n padding: 0;\r\n position: absolute;\r\n text-align: center;\r\n top: 74px;\r\n width: 41px\r\n}\r\n\r\n.module-book-title {\r\n color: #333;\r\n float: left;\r\n font: 400 14px/18px Helvetica,Arial,sans-serif;\r\n margin: 0;\r\n padding: 3px 0 5px;\r\n text-align: left;\r\n width: 89px\r\n}\r\n\r\n.module-book-author {\r\n color: #999;\r\n font: 11px/14px arial,sans-serif;\r\n margin: 0 0 15px;\r\n padding: 0;\r\n text-align: left\r\n}\r\n\r\n.module-book-search {\r\n border: 1px solid #000;\r\n float: left;\r\n height: 100px;\r\n width: 290px\r\n}\r\n\r\n.module-book-full-list:before {\r\n font-family: 'Gannett Icons';\r\n content: \"a \";\r\n font-size: 18px;\r\n vertical-align: middle;\r\n line-height: 1\r\n}\r\n\r\n.module-book-full-list {\r\n color: #009af9;\r\n font: 400 14px/18px arial,sans-serif;\r\n font-weight: 700\r\n}\r\n\r\n.module-book-full-list:visited {\r\n color: #009af9\r\n}\r\n\r\n.books-form-field-wrap {\r\n margin: 15px 0;\r\n display: inline-block\r\n}\r\n\r\n.module-books-search-title {\r\n color: #333;\r\n float: left;\r\n font: 700 13px/24px arial,sans-serif;\r\n margin: 18px 0 10px;\r\n text-transform: uppercase;\r\n width: 200px\r\n}\r\n\r\n.module-book-genre-list {\r\n background: #fff;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.15),inset 0 -1px 0 rgba(255,255,255,.05),0 1px 2px -1px rgba(0,0,0,.4);\r\n color: #666;\r\n font: 300 12px/13px arial,sans-serif;\r\n font-weight: 700;\r\n margin: 10px 0;\r\n width: 100%\r\n}\r\n\r\n.module-book-genre-list .ui-dropdown {\r\n margin-top: 0\r\n}\r\n\r\n.module-book-allbook-list {\r\n background: #fff;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.15),inset 0 -1px 0 rgba(255,255,255,.05),0 1px 2px -1px rgba(0,0,0,.4);\r\n color: #666;\r\n float: left;\r\n font: 300 12px/13px arial,sans-serif;\r\n font-weight: 700;\r\n margin: 10px 10px 10px 0\r\n}\r\n\r\n.books-form-wrap {\r\n float: left;\r\n width: 285px\r\n}\r\n\r\n.books-form-submit-btn {\r\n float: right\r\n}\r\n\r\n.module-books-radio {\r\n display: inline-block;\r\n width: 100%\r\n}\r\n\r\n.module-book-best-selling {\r\n color: #000;\r\n font: 400 14px/13px 'Futura Today',arial,sans-serif;\r\n margin-bottom: 10px;\r\n padding-right: 25px;\r\n text-align: center\r\n}\r\n\r\n.module-book-best-selling:after {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -6px -84px no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 18px;\r\n margin-bottom: -5px;\r\n margin-left: 5px;\r\n width: 17px\r\n}\r\n\r\n.asset .ribbon-module .module-book-best-selling-title {\r\n color: #000;\r\n font: 300 17px/13px arial,sans-serif;\r\n font-weight: 700;\r\n margin: 10px 0 0 30px;\r\n text-align: left;\r\n text-transform: none;\r\n width: 277px\r\n}\r\n\r\n.asset .ribbon-module .module-book-best-selling-author {\r\n color: #505050;\r\n font: 12px/13px arial,sans-serif;\r\n font-style: italic;\r\n margin: 5px 0 0 30px;\r\n padding: 0;\r\n text-align: left;\r\n text-transform: none;\r\n width: 277px\r\n}\r\n\r\n.module-book-best-selling-li {\r\n border-bottom: 1px dotted #787878;\r\n float: left;\r\n list-style-position: inside;\r\n list-style-type: none;\r\n padding: 10px 15px;\r\n width: 288px\r\n}\r\n\r\n.module-book-best-selling-li:before {\r\n color: #0af;\r\n content: counter(li);\r\n counter-increment: li;\r\n float: left;\r\n font: 400 35px/13px 'Futura Today Bold',arial,sans-serif;\r\n margin: 10px 10px 0 0;\r\n min-width: 20px;\r\n text-align: center;\r\n text-shadow: 1px 1px white,-1px -1px #444;\r\n vertical-align: middle\r\n}\r\n\r\n.module-book-best-selling-list {\r\n counter-reset: li;\r\n font-size: 16px\r\n}\r\n\r\n.search-full-books-list {\r\n width: 220px;\r\n float: left\r\n}\r\n\r\n.five-up-booklist-number {\r\n color: #21acff;\r\n float: left;\r\n font: 400 45px/35px 'Futura Today Bold',arial,sans-serif;\r\n text-align: center;\r\n text-shadow: rgba(0,0,0,.1) -1px 0,rgba(0,0,0,.6) 0 -1px,rgba(255,255,255,.1) 1px 0,rgba(255,255,255,.6) 0 1px,rgba(0,0,0,.1) -1px -1px,rgba(255,255,255,.6) 1px 1px;\r\n width: 30px\r\n}\r\n\r\n.five-up-booklist-list {\r\n width: 328px\r\n}\r\n\r\n.five-up-booklist-list-item {\r\n border-bottom: 1px dotted #989898;\r\n list-style-type: none;\r\n margin: 0;\r\n padding: 16px 0 16px 11px;\r\n width: 308px\r\n}\r\n\r\n.five-up-booklist-info-text {\r\n float: left;\r\n margin-left: 15px;\r\n text-align: left;\r\n width: 230px\r\n}\r\n\r\n.five-up-meta-title {\r\n color: #333;\r\n font: 400 16px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 0 0 5px;\r\n text-align: left;\r\n text-transform: none\r\n}\r\n\r\n.five-up-meta-author {\r\n color: #666;\r\n font: 400 12px/12px Arial,sans-serif;\r\n font-style: italic;\r\n margin: 0;\r\n text-align: left;\r\n text-transform: none\r\n}\r\n\r\n.five-up-books-list-link {\r\n color: #333;\r\n font: 400 12px/15px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 20px 0;\r\n text-align: center;\r\n text-transform: none;\r\n width: 328px\r\n}\r\n\r\n.five-up-books-list-link:after {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -6px -84px no-repeat;\r\n content: '';\r\n display: inline-block;\r\n height: 17px;\r\n margin: 2px 5px 0 6px;\r\n width: 17px\r\n}\r\n\r\n.five-up-books-list-text {\r\n vertical-align: 25%\r\n}\r\n\r\n.five-up-booklist-rating {\r\n float: left;\r\n padding: 10px 0 0;\r\n width: 300px\r\n}\r\n\r\n.five-up-booklist-rating>.five-up-booklist-rating-text {\r\n color: #333;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n padding: 2px 0 0;\r\n text-align: left;\r\n width: 110px\r\n}\r\n\r\n.five-up-booklist-stars,.five-up-booklist-stars>span {\r\n background: url(../../images/book-reviews/stars.png) 0 -16px repeat-x;\r\n display: block;\r\n float: left;\r\n height: 16px;\r\n width: 80px\r\n}\r\n\r\n.five-up-booklist-stars>span {\r\n background-position: 0 0\r\n}\r\n\r\n.five-up-booklist-star-holder {\r\n float: left;\r\n margin-top: 8px;\r\n width: 80px\r\n}\r\n\r\n.front-booklist-paginiation,.gr_pagination {\r\n color: #787878;\r\n font: 400 9px/10px 'Futura Today Bold',arial,sans-serif\r\n}\r\n\r\n.front-booklist-paginiation,.gr_pagination>div>a,.gr_pagination .previous_page,.gr_pagination .current {\r\n float: left;\r\n margin: 10px 0;\r\n padding: 2px 4px\r\n}\r\n\r\n.front-booklist-paginiation:visited {\r\n color: #787878\r\n}\r\n\r\n.front-booklist-paginiation:hover {\r\n color: #0af\r\n}\r\n\r\n.front-booklist-paginiation.selected {\r\n background-color: #dcdcdc;\r\n border-radius: 5px\r\n}\r\n\r\n.front-booklist-pagination-prev {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -43px -84px no-repeat;\r\n display: block;\r\n float: left;\r\n height: 17px;\r\n margin: 9px 5px;\r\n overflow: hidden;\r\n width: 17px\r\n}\r\n\r\n.front-booklist-pagination-next {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -6px -84px no-repeat;\r\n display: block;\r\n float: left;\r\n height: 17px;\r\n margin: 9px 5px 13px 4px;\r\n overflow: hidden;\r\n width: 17px\r\n}\r\n\r\n.front-booklist-filters {\r\n border-bottom: 1px solid #c8c8c8;\r\n float: left;\r\n padding: 10px 0 20px;\r\n width: 680px\r\n}\r\n\r\n.front-booklist-filters .front-booklist-return {\r\n padding-left: 2px\r\n}\r\n\r\n.front-booklist-sortby {\r\n border-right: 1px solid #c8c8c8;\r\n padding-right: 20px;\r\n width: 91px\r\n}\r\n\r\n.front-booklist-classpicker {\r\n width: 113px\r\n}\r\n\r\n.front-booklist-sortby,.front-booklist-classpicker {\r\n float: left;\r\n margin-right: 20px\r\n}\r\n\r\n.front-booklist-genrepicker {\r\n float: left;\r\n margin-right: auto\r\n}\r\n\r\n.front-booklist-genrepicker .ui-dropdown {\r\n min-width: 274px\r\n}\r\n\r\n#booklist-sortby,#booklist-classpicker,#booklist-genrepicker {\r\n color: #787878;\r\n font: 700 12px/15px Arial,sans-serif;\r\n padding: 5px 0;\r\n vertical-align: middle;\r\n width: 133px\r\n}\r\n\r\n#booklist-genrepicker {\r\n width: 274px\r\n}\r\n\r\n.front-booklist-datepicker {\r\n color: #787878;\r\n float: right;\r\n font: 400 12px/16px Arial,sans-serif;\r\n font-weight: 700;\r\n padding-top: 1px\r\n}\r\n\r\n#booklist-datepicker {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -95px -34px no-repeat;\r\n float: left;\r\n height: 30px;\r\n line-height: normal;\r\n padding-left: 24px;\r\n vertical-align: middle;\r\n width: 118px\r\n}\r\n\r\n.ui-datepicker-calendar .week-ui-state-hover {\r\n border-color: #1a90e5\r\n}\r\n\r\n.front-booklist-pagination-prev,.front-booklist-pagination-next {\r\n overflow: hidden;\r\n text-indent: -100%\r\n}\r\n\r\n.story-booklist-this-week,.story-booklist-last-week,.story-booklist-week-count,.story-booklist-best-week {\r\n background-color: #f0f0f0;\r\n box-shadow: inset 0 1px 2px 0 #c8c8c8;\r\n float: left;\r\n margin: 0 6px 10px 0;\r\n padding: 8px 0;\r\n width: 76px\r\n}\r\n\r\n.asset-double-wide .book-this-week-number {\r\n color: #666;\r\n display: inline-block;\r\n font: 400 24px/30px 'Futura Today Bold',arial,sans-serif;\r\n margin: 0;\r\n padding-right: 7px;\r\n text-align: right;\r\n width: 62px\r\n}\r\n\r\n.asset-double-wide .book-last-week-number,.asset-double-wide .book-last-week-count,.asset-double-wide .book-best-week-number {\r\n color: #666;\r\n display: inline-block;\r\n font: 400 24px/30px 'Futura Today Bold',arial,sans-serif;\r\n margin: 0;\r\n padding-right: 7px;\r\n text-align: center;\r\n width: 70px\r\n}\r\n\r\n.asset-double-wide .book-right-descriptor {\r\n color: #666;\r\n font: 400 11px/12px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 0;\r\n text-align: center;\r\n text-transform: none;\r\n width: 75px\r\n}\r\n\r\n.story-book-ranking-title {\r\n color: #666;\r\n font: 400 14px/14px 'Futura Today Bold',Arial,sans-serif;\r\n letter-spacing: 1px;\r\n margin: 0 0 10px;\r\n text-transform: uppercase\r\n}\r\n\r\n.story-book-ranking-title-link:visited {\r\n color: #666\r\n}\r\n\r\n.story-book-ranking-title-link:hover {\r\n color: #1a95d2\r\n}\r\n\r\n.booklist-best-week-one .book-best-week-number,.booklist-best-week-one .booklist-pound,.story-book-ranking-content .booklist-best-week-one .story-booklist-pound,.booklist-best-week-one .book-right-descriptor,.story-book-ranking-content .booklist-best-week-one .book-right-descriptor {\r\n color: #009bff\r\n}\r\n\r\n.asset-double-wide .story-booklist-debuted {\r\n border-bottom: 1px solid #DCDCDC;\r\n color: #797979;\r\n font: 400 11px/12px 'Futura Today',arial,sans-serif;\r\n margin-right: 7px;\r\n padding-bottom: 5px;\r\n text-align: right\r\n}\r\n\r\n.asset-double-wide .story-booklist-ranked-reviewed {\r\n margin-bottom: 0\r\n}\r\n\r\n.story-booklist-ranking-stars {\r\n border-bottom: 1px dotted #c8c8c8;\r\n border-top: 1px dotted #c8c8c8;\r\n float: left;\r\n margin: 0 0 15px;\r\n padding: 0;\r\n width: 323px\r\n}\r\n\r\n.story-book-review-listed .story-booklist-ranking-stars {\r\n border-top: 0\r\n}\r\n\r\n.story-booklist-ranking-stars .booklist-stars {\r\n margin-top: 8px\r\n}\r\n\r\n.asset-double-wide .story-booklist-ranking-text {\r\n color: #333;\r\n float: left;\r\n font: 400 11px/15px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 10px 10px 10px 0;\r\n text-align: left\r\n}\r\n\r\n.ui-datepicker-calendar .week-ui-state-hover {\r\n background-color: #0af;\r\n border-color: #1a90e5\r\n}\r\n\r\n#goodreads-widget {\r\n border-top: 1px solid #dcdcdc;\r\n margin: 20px 0 10px 60px;\r\n padding: 15px 0;\r\n width: 540px\r\n}\r\n\r\n.NonReviewedBook #goodreads-widget {\r\n margin-left: 270px;\r\n width: 330px\r\n}\r\n\r\n.gr_reviews_header a {\r\n color: #333;\r\n font: 400 13px/12px 'Futura Today Bold',arial,sans-serif;\r\n text-transform: uppercase\r\n}\r\n\r\n.gr_reviews_showing {\r\n color: #333;\r\n font: 400 11px/12px 'Futura Today',arial,sans-serif;\r\n font-style: italic\r\n}\r\n\r\n.gr_review_container {\r\n border-bottom: 1px solid #dcdcdc;\r\n color: #656565;\r\n font: 400 11px/16px Arial,sans-serif;\r\n font-style: italic;\r\n margin: 0 0 10px;\r\n padding: 15px 0 40px\r\n}\r\n\r\n.asset-double-wide .gr_review_container a {\r\n color: #656565;\r\n font: 400 11px/17px Arial,sans-serif;\r\n font-style: italic\r\n}\r\n\r\n.gr_review_text {\r\n color: #333;\r\n font: 400 12px/20px Arial,sans-serif;\r\n word-wrap: break-word\r\n}\r\n\r\n.gr_review_text>img,.gr_review_text>object,.gr_review_text>iframe,.gr_review_text>a>img,.gr_review_text>a>object,.gr_review_text>a>iframe,.gr_review_text .escapedImg {\r\n display: none\r\n}\r\n\r\n.gr_review_container a,.gr_rating {\r\n color: #0af\r\n}\r\n\r\n.no-border .asset-metabar {\r\n border: 0\r\n}\r\n\r\n.asset-double-wide a.gr_more_link {\r\n color: #333;\r\n display: inline-block;\r\n float: left;\r\n font: 400 12px/12px Arial,sans-serif;\r\n font-weight: 700;\r\n margin: 15px 0 20px;\r\n width: 540px\r\n}\r\n\r\n.asset-double-wide.NonReviewedBook a.gr_more_link {\r\n width: 330px\r\n}\r\n\r\n.asset-double-wide a.gr_more_link:before {\r\n background: transparent url(../../images/book-reviews/books-sprite.png) -6px -84px no-repeat;\r\n display: inline-block;\r\n float: left;\r\n height: 17px;\r\n margin: -2px 5px 0 0;\r\n width: 17px\r\n}\r\n\r\n.gr_branding {\r\n display: none\r\n}\r\n\r\n.story-booklist-pound {\r\n font: 400 12px/12px 'Futura Today Bold',arial,sans-serif;\r\n vertical-align: super\r\n}\r\n\r\n.book-review .article-metadata-wrap {\r\n background: url(../../images/patterns/paper-noise.png) repeat scroll left top #FFF;\r\n margin: 0;\r\n padding: 0 30px 0 60px\r\n}\r\n\r\n.front-booklist-info-container {\r\n display: table\r\n}\r\n\r\n.front-booklist-number,.front-booklist-image-rating-container,.front-booklist-info-text,.booklist-ranking-column {\r\n display: table-cell\r\n}\r\n\r\n.front-booklist-image-rating-container {\r\n width: auto\r\n}\r\n\r\n.front-booklist-info-text {\r\n margin: 0;\r\n padding: 0 0 0 20px\r\n}\r\n\r\n.books-front-meta-authorInfo {\r\n display: inline-block;\r\n -ms-word-wrap: normal;\r\n word-wrap: normal\r\n}\r\n\r\n.books-front-meta-rated-author {\r\n line-height: 1.2;\r\n max-width: 111px\r\n}\r\n\r\n.booklist-ranking-column {\r\n vertical-align: top;\r\n width: 105px\r\n}\r\n\r\n.books-list-link {\r\n display: inline-block\r\n}\r\n\r\n.books-list-link:hover .front-booklist-image {\r\n background: rgba(0,0,0,.5);\r\n opacity: .5\r\n}\r\n\r\n.module-book-wrap:hover .module-book-image-number {\r\n background-position: -92px -110px\r\n}\r\n\r\n.module-book-wrap:hover .module-book-title,.books-list-link:hover .books-front-meta-title,.module-book-full-list:hover,.five-up-booklist-list-item-link:hover>.five-up-booklist-info-text>.five-up-meta-title {\r\n color: #009bff\r\n}\r\n\r\n.booksthreeup-primary .featured-content-primary {\r\n display: table-cell;\r\n overflow: visible\r\n}\r\n\r\n.front-booklist-filters {\r\n display: table;\r\n vertical-align: 50%\r\n}\r\n\r\n.front-booklist-sortby,.front-booklist-classpicker,.front-booklist-genrepicker,.front-booklist-datepicker {\r\n display: table-cell;\r\n height: 52px\r\n}\r\n\r\n.front-booklist-info-text .booksish-excerpt-button {\r\n float: right;\r\n margin-top: 19px\r\n}\r\n\r\n.front-booklist-info-text .booklist-comp {\r\n margin-top: 19px\r\n}\r\n\r\n.card-primary.booklist-module {\r\n border-top: 1px solid #c8c8c8\r\n}\r\n\r\n.module-book-image {\r\n border: 1px solid #dadada;\r\n box-shadow: 1px 2px 2px 0 rgba(0,0,0,.2);\r\n -webkit-transition: background-color,border-color .15s,.15s;\r\n -moz-transition: background-color,border-color .15s,.15s;\r\n transition: background-color,border-color .15s,.15s;\r\n display: inline-block\r\n}\r\n\r\n.module-book-wrap:hover .module-book-image {\r\n border-color: #4a9cff\r\n}\r\n\r\n.twitter-primary-module,.twitter-sidebar-content {\r\n background: #fff\r\n}\r\n\r\n.tweet {\r\n color: #333;\r\n font-size: 14px;\r\n line-height: 18px;\r\n margin: 0 .25em 15px\r\n}\r\n\r\n.tweet-action-link:hover {\r\n color: #000\r\n}\r\n\r\n.tweet-meta-link-avatar {\r\n float: left;\r\n height: 35px;\r\n width: 35px\r\n}\r\n\r\n.tweet-meta {\r\n font-size: 11px;\r\n margin: 5px 0 0;\r\n padding-bottom: 10px;\r\n width: 100%\r\n}\r\n\r\n.tweet-meta-name {\r\n font: 700 14px/18px arial,sans-serif;\r\n color: #333\r\n}\r\n\r\n.tweet-meta-screen-name {\r\n color: #999;\r\n font-size: 13px\r\n}\r\n\r\n.tweet-meta-link,.tweet-meta-link:visited {\r\n color: #000\r\n}\r\n\r\n.tweet-meta-link:hover {\r\n color: #007ccf\r\n}\r\n\r\n.tweet-meta-time {\r\n float: left;\r\n color: #999;\r\n margin: 0\r\n}\r\n\r\n.tweet_time_ago {\r\n color: #999;\r\n font: 400 11px/18px arial,sans-serif\r\n}\r\n\r\n.twitter-module {\r\n height: auto\r\n}\r\n\r\n.tweet-list {\r\n font-size: 12px;\r\n height: auto;\r\n line-height: 1.5;\r\n list-style: none;\r\n display: table;\r\n border-collapse: separate\r\n}\r\n\r\n.card-tweet-list,.stagfront-tweet-list {\r\n border-spacing: 20px\r\n}\r\n\r\n.card-tweet-list .tweet,.stagfront-tweet-list .tweet {\r\n height: 5.14em\r\n}\r\n\r\n.sidebar-tweet-list .tweet-item {\r\n padding: 20px\r\n}\r\n\r\n.tweet-item {\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n display: table-cell;\r\n width: 360px\r\n}\r\n\r\n.stagfront-tweet-list .tweet-item {\r\n width: 334px\r\n}\r\n\r\n.stagfront-twitter-header {\r\n font: 14px/18px 'Futura Today Bold','helvetica neue',arial,sans-serif;\r\n padding: 0 20px;\r\n text-transform: uppercase\r\n}\r\n\r\n.twitter-sidebar {\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n background: #f9f9f9;\r\n box-sizing: border-box;\r\n height: 650px;\r\n overflow: hidden;\r\n padding-bottom: 35px;\r\n position: relative\r\n}\r\n\r\n.twitter-sidebar .size-bar-header {\r\n left: 0;\r\n position: absolute;\r\n top: 0\r\n}\r\n\r\n.twitter-sidebar-content {\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n overflow: hidden;\r\n position: relative;\r\n padding-bottom: 35px\r\n}\r\n\r\n.twitter-sidebar .tweet-item {\r\n border-bottom: 1px solid #d3d3d3;\r\n border-top: 1px solid #fff;\r\n float: left;\r\n width: 100%\r\n}\r\n\r\n.twitter-sidebar .tweet:last-child {\r\n border-bottom: 0\r\n}\r\n\r\n.twitter-sidebar .twitter-footer {\r\n bottom: 0;\r\n left: 0;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.twitter-sidebar .twitter-link {\r\n background: #1877b6;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #fff;\r\n display: block;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 11px;\r\n height: auto;\r\n letter-spacing: .06em;\r\n padding: 10px 14px 10px 30px;\r\n text-shadow: 1px 1px 0 rgba(0,0,0,.3);\r\n text-transform: uppercase;\r\n width: 100%\r\n}\r\n\r\n.tweet-meta-name-verified-True:after,.twitter-sidebar .twitter-link:before {\r\n background: url(../../images/sprites/twitter-sprite.png) no-repeat\r\n}\r\n\r\n.twitter-sidebar .twitter-link:before {\r\n background-position: 0 0;\r\n content: \"\";\r\n display: block;\r\n height: 20px;\r\n left: 8px;\r\n margin-top: -10px;\r\n position: absolute;\r\n top: 50%;\r\n width: 20px\r\n}\r\n\r\n.tweet-meta-name-verified-True:after {\r\n background-position: -24px 0;\r\n content: \"\";\r\n display: inline-block;\r\n height: 15px;\r\n width: 20px;\r\n margin-bottom: -2px\r\n}\r\n\r\n.tweet-actions {\r\n float: right;\r\n height: 18px\r\n}\r\n\r\n.tweet-actions .tweet-action-link {\r\n float: left;\r\n margin-right: 3px;\r\n padding: 0 0 0 2px;\r\n font: 400 11px/18px arial,sans-serif;\r\n color: #666\r\n}\r\n\r\n.tweet-actions .tweet-action-link:visited {\r\n color: #666\r\n}\r\n\r\n.tweet-actions>.tweet-reply:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/reply.png) no-repeat 0 0;\r\n content: \"\";\r\n display: block;\r\n float: left;\r\n height: 18px;\r\n width: 20px\r\n}\r\n\r\n.tweet-actions>.tweet-retweet:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/retweet.png) no-repeat 0 1px;\r\n content: \"\";\r\n display: block;\r\n float: left;\r\n height: 18px;\r\n width: 20px\r\n}\r\n\r\n.tweet-actions>.tweet-favorite:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/favorite.png) no-repeat 0 0;\r\n content: \"\";\r\n display: block;\r\n float: left;\r\n height: 18px;\r\n width: 20px\r\n}\r\n\r\n.tweet-actions>.tweet-reply:hover:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/reply_hover.png) no-repeat 0 0\r\n}\r\n\r\n.tweet-actions>.tweet-retweet:hover:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/retweet_hover.png) no-repeat 0 0\r\n}\r\n\r\n.tweet-actions>.tweet-favorite:hover:before {\r\n background: url(https://si0.twimg.com/images/dev/cms/intents/icons/favorite_hover.png) no-repeat 0 0\r\n}\r\n\r\n.tweet-actions>.tweet-reply:hover,.tweet-actions>.tweet-retweet:hover,.tweet-actions>.tweet-favorite:hover {\r\n color: #666\r\n}\r\n\r\n.twitter-follow {\r\n background-color: #f8f8f8;\r\n background-image: -webkit-gradient(linear,left top,left bottom,from(#fff),to(#dedede));\r\n background-image: -moz-linear-gradient(top,#fff,#dedede);\r\n background-image: -o-linear-gradient(top,#fff,#dedede);\r\n background-image: -ms-linear-gradient(top,#fff,#dedede);\r\n background-image: linear-gradient(top,#fff,#dedede);\r\n border: #ccc solid 1px;\r\n border-radius: 3px;\r\n clear: none;\r\n cursor: pointer;\r\n float: right;\r\n font-weight: 700;\r\n height: 18px;\r\n margin-top: 0;\r\n overflow: hidden;\r\n position: relative;\r\n text-shadow: 0 1px 0 rgba(255,255,255,.5);\r\n -webkit-user-select: none;\r\n -moz-user-select: none;\r\n -o-user-select: none;\r\n user-select: none;\r\n width: 60px\r\n}\r\n\r\na.twitter-follow-label {\r\n padding: 0 3px 0 19px;\r\n white-space: nowrap;\r\n color: #333\r\n}\r\n\r\n.twitter-follow-label:before {\r\n background-image: url(data:image/png;base64,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);\r\n content: '';\r\n height: 13px;\r\n left: 2px;\r\n margin-top: -5px;\r\n position: absolute;\r\n top: 50%;\r\n width: 16px\r\n}\r\n\r\n.twitter-row {\r\n display: table-row;\r\n width: 100%\r\n}\r\n\r\n.card-tweet-list .row-1 .tweet-item,.stagfront-tweet-list .row-1 .tweet-item,.stagfront-tweet-list .row-2 .tweet-item {\r\n border-bottom: #e5e5e5 1px dashed;\r\n border-left: 10px #fff;\r\n border-right: 10px #fff;\r\n padding: 0 0 20px\r\n}\r\n\r\n.tweets .split-two .no-right-padding {\r\n padding-right: 0\r\n}\r\n\r\n.elections-primary {\r\n background-color: #100100100;\r\n background-image: none;\r\n float: left\r\n}\r\n\r\n.epm-header {\r\n background-color: #f2f2f2;\r\n border-bottom: 1px solid #d2d2d2;\r\n float: left;\r\n padding: 10px 15px;\r\n width: 690px\r\n}\r\n\r\n.epm-title {\r\n color: #666;\r\n float: left;\r\n font-family: 'Futura Today Light',Arial,sans-serif;\r\n font-size: 16px;\r\n margin: 2px 0 0;\r\n text-transform: uppercase;\r\n width: 277px\r\n}\r\n\r\n.epm-selector {\r\n background-color: #efefef;\r\n border: 1px solid #bdbdbd;\r\n border-radius: 2px;\r\n color: #333;\r\n cursor: pointer;\r\n float: right;\r\n font-family: Arial,sans-serif;\r\n font-size: 11px;\r\n font-weight: 700;\r\n height: 15px;\r\n margin: 0 1%;\r\n padding: 5px 4px 4px;\r\n text-align: center;\r\n width: 10%\r\n}\r\n\r\n.epm-selector.selected {\r\n background-color: gray;\r\n border: 1px solid #757575;\r\n color: #fff\r\n}\r\n\r\n.epm-module-link-container {\r\n border: 0;\r\n border-right: 1px solid #dcdcdc;\r\n float: left;\r\n margin-top: 28px;\r\n padding-bottom: 0;\r\n text-decoration: none;\r\n width: 23%\r\n}\r\n\r\n.epm-module-link-small {\r\n color: #000;\r\n float: left;\r\n font-family: 'Futura Today Light',Arial,sans-serif;\r\n font-size: 19px;\r\n text-align: center;\r\n width: 100%\r\n}\r\n\r\n.epm-module-link {\r\n color: #2d8cd0;\r\n float: left;\r\n font-family: 'Futura Today' Arial,sans-serif;\r\n font-size: 25px;\r\n line-height: 28px;\r\n text-align: center;\r\n width: 100%\r\n}\r\n\r\n.epm-races-holder {\r\n float: right;\r\n margin: 8px 20px 9px 0;\r\n width: 250px\r\n}\r\n\r\n.epm-senate-numbers {\r\n display: block\r\n}\r\n\r\n.epm-house-numbers,.epm-governor-numbers {\r\n display: none\r\n}\r\n\r\n.epm-key-races {\r\n display: none;\r\n float: right;\r\n margin: 14px 20px 15px;\r\n width: 71%\r\n}\r\n\r\n.epm-incumbent {\r\n color: #666;\r\n float: left;\r\n font-size: 8px;\r\n margin-top: 5px\r\n}\r\n\r\n.epm-key-incumbent {\r\n float: right;\r\n color: #666;\r\n font-size: 8px;\r\n margin-right: 11px;\r\n margin-top: 5px\r\n}\r\n\r\n.epm-map {\r\n float: left;\r\n height: 156px;\r\n width: 274px;\r\n background-repeat: no-repeat;\r\n background-size: cover\r\n}\r\n\r\n.epm-race-type {\r\n float: right;\r\n font-size: .7em;\r\n font-weight: lighter\r\n}\r\n\r\n.epm-candidate.Democratic:after {\r\n color: #245468;\r\n content: \" (D)\";\r\n display: inline\r\n}\r\n\r\n.epm-candidate.Republican:after {\r\n color: #742b32;\r\n content: \" (R)\";\r\n display: inline\r\n}\r\n\r\n.epm-carousel-li {\r\n float: left;\r\n list-style-type: none;\r\n margin: 0 0 2px;\r\n width: 522px\r\n}\r\n\r\n.epm-candidate-state {\r\n background-color: #f0f0f0;\r\n border-right: 1px solid #dcdcdc;\r\n color: #666;\r\n float: left;\r\n font-family: \"Futura Today Demi\" Helvetica,Symbol,Arial,sans-serif;\r\n font-size: .6em;\r\n font-weight: 700;\r\n height: 70px;\r\n padding: 10px 0 5px;\r\n text-align: center;\r\n width: 50px\r\n}\r\n\r\n.epm-candidate-state.d-lean {\r\n color: #547a8a\r\n}\r\n\r\n.epm-candidate-state.r-lean {\r\n color: #742b32\r\n}\r\n\r\n.epm-state-holder {\r\n color: #666;\r\n float: left;\r\n font-size: 4em;\r\n margin-top: 5px;\r\n width: 100%;\r\n text-align: center\r\n}\r\n\r\n.epm-candidate-state.d-lean .state-holder {\r\n color: #527c8a\r\n}\r\n\r\n.epm-candidate-state.r-lean .state-holder {\r\n color: #742b32\r\n}\r\n\r\n.epm-candidate-state.t-lean .state-holder {\r\n color: #666\r\n}\r\n\r\n.epm-candidate-candidates {\r\n float: left;\r\n height: 64px;\r\n width: 97px\r\n}\r\n\r\n.epm-candidate-container {\r\n float: left;\r\n font-size: 14px;\r\n padding-top: 9px;\r\n padding-left: 5px;\r\n width: 100%\r\n}\r\n\r\n.epm-lean-container {\r\n border-bottom: 1px solid #dcdcdc;\r\n float: left;\r\n font-size: 11px;\r\n height: 19px;\r\n width: 84px;\r\n padding: 8px 9px 4px\r\n}\r\n\r\n.epm-lean-container.r-lean {\r\n color: #742b32\r\n}\r\n\r\n.epm-lean-container.d-lean {\r\n color: #527c8a\r\n}\r\n\r\n.epm-candidate-box,.epm-candidate-box:visited {\r\n border: 1px solid #dcdcdc;\r\n float: left;\r\n list-style-type: none;\r\n margin: 0 10px;\r\n position: relative;\r\n width: 29%;\r\n color: #666\r\n}\r\n\r\n.epm-candidate-box:after {\r\n content: \"\";\r\n height: 99px;\r\n width: 100%;\r\n position: absolute;\r\n bottom: -1%;\r\n left: 0;\r\n box-shadow: 0 0 13px -4px rgba(0,0,0,.35)\r\n}\r\n\r\n.epm-candidate {\r\n float: left;\r\n font-family: \"Futura Today\" Helvetica,Arial,sans-serif;\r\n font-size: .7em;\r\n font-weight: 400;\r\n margin: 0;\r\n padding: 1px 5%;\r\n width: 100%\r\n}\r\n\r\n.Democratic {\r\n color: #527c8a\r\n}\r\n\r\n.Republican {\r\n color: #742b32\r\n}\r\n\r\n.epm-candidate.Y:before {\r\n color: #646464;\r\n content: \"* \";\r\n float: left;\r\n font-weight: 700;\r\n margin-right: 3px\r\n}\r\n\r\n.epm-key-races-container {\r\n float: left;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.epm-races-container-div {\r\n float: left;\r\n height: 110px;\r\n overflow: hidden;\r\n width: 522px;\r\n margin-top: 10px\r\n}\r\n\r\n.epm-option {\r\n color: #fff;\r\n cursor: pointer;\r\n float: left;\r\n font-family: 'futura today light','helvetica light',helvetica,symbol,arial,sans-serif;\r\n margin: 5% 20%;\r\n text-align: center;\r\n width: 60%\r\n}\r\n\r\n.epm-module-navigation {\r\n float: left;\r\n padding: 0\r\n}\r\n\r\n.epm-module-nav-li {\r\n background-position: center;\r\n background-repeat: no-repeat;\r\n background-size: contain;\r\n display: inline;\r\n float: left;\r\n height: 31px;\r\n list-style-type: none;\r\n width: 20%\r\n}\r\n\r\n.epm-counter-div.selected {\r\n background-color: #000\r\n}\r\n\r\n.epm-counter-div {\r\n background-color: #fff;\r\n border: 1px solid #666;\r\n border-radius: 5px;\r\n float: left;\r\n height: 5px;\r\n margin-left: 8px;\r\n cursor: pointer;\r\n width: 5px\r\n}\r\n\r\n.epm-module-sections {\r\n float: left;\r\n margin: 0 2% 0 3%;\r\n padding: 0;\r\n width: 95%\r\n}\r\n\r\n.epm-module-chart {\r\n display: none;\r\n float: left\r\n}\r\n\r\n.epm-module-key {\r\n display: block;\r\n float: left;\r\n width: 100%\r\n}\r\n\r\n.epm-graph-container {\r\n float: left;\r\n margin: 0 -20px;\r\n padding: 0 20px 8px;\r\n webkit-tap-highlight-color: transparent;\r\n width: 100%\r\n}\r\n\r\n.epm-container {\r\n margin: 20px\r\n}\r\n\r\n.epm-bar-stats {\r\n height: 45px;\r\n margin: 3px 0 0;\r\n box-shadow: 0 0 4px 1px rgba(50%,50%,50%,.2);\r\n position: relative;\r\n width: 99%\r\n}\r\n\r\n.epm-progress-stat-bar {\r\n display: block;\r\n list-style-type: none;\r\n margin: 5px 0 0;\r\n overflow: hidden;\r\n padding: 0;\r\n padding-left: 0;\r\n position: relative\r\n}\r\n\r\n.epm-democrat {\r\n background: #235468;\r\n height: 45px;\r\n text-align: left\r\n}\r\n\r\n.epm-lean-democrat {\r\n background: #518296;\r\n height: 45px;\r\n text-align: left\r\n}\r\n\r\n.epm-republican {\r\n background: #742b31;\r\n height: 45px;\r\n text-align: right\r\n}\r\n\r\n.epm-lean-republican {\r\n background: #95474e;\r\n height: 45px;\r\n text-align: right\r\n}\r\n\r\n.epm-tossup {\r\n background: #e7e9e8;\r\n height: 45px;\r\n text-align: right\r\n}\r\n\r\n.epm-fifty-line {\r\n display: block;\r\n height: 100%;\r\n left: 50%;\r\n margin: 0 0 0 -1px;\r\n position: absolute;\r\n top: 0;\r\n width: 5px\r\n}\r\n\r\n.epm-democrat-bar {\r\n color: #235468;\r\n display: inline;\r\n font: 400 1em/1.1 'Futura Today Bold',arial;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.epm-lean-democrat-bar {\r\n color: #518296;\r\n display: inline;\r\n font: 400 1em/1.1 'Futura Today Bold',arial;\r\n padding: 15px 17px 5px;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.epm-label-democrat {\r\n color: #235468;\r\n font: 400 12px/1.3 'Futura Today Light',arial;\r\n letter-spacing: .1em;\r\n margin-top: -3px;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-label-lean-democrat {\r\n color: #518296;\r\n font: 400 12px/1.3 'Futura Today Light',arial;\r\n letter-spacing: .1em;\r\n margin-left: 13%;\r\n margin-top: -3px;\r\n text-align: center;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-republican-bar {\r\n color: #742b31;\r\n font: 400 1em/1.1 'Futura Today Bold',arial;\r\n margin-left: 69%;\r\n padding: 15px 0 5px\r\n}\r\n\r\n.epm-lean-republican-bar {\r\n color: #95474e;\r\n font: 400 1em/1.1 'Futura Today Bold',arial;\r\n padding: 15px 17px 5px;\r\n position: relative\r\n}\r\n\r\n.epm-party-label-democrat {\r\n color: #518296;\r\n display: inline;\r\n font: 400 .8em/.8 'Futura Today',arial;\r\n letter-spacing: .1em;\r\n margin-top: -3px;\r\n padding: 15px 0 5px;\r\n text-transform: uppercase\r\n}\r\n\r\n.epm-party-label-republican {\r\n color: #95474e;\r\n display: inline;\r\n font: 400 .8em/.8'Futura Today',arial;\r\n letter-spacing: .1em;\r\n margin-left: 4px;\r\n margin-top: -3px;\r\n padding: 15px 0 5px;\r\n text-transform: uppercase\r\n}\r\n\r\n.epm-lean-label-republican {\r\n color: #95474e;\r\n font: 400 12px/1.3 'Futura Today Light',arial;\r\n letter-spacing: .1em;\r\n margin-left: 10%;\r\n margin-top: -3px;\r\n text-align: center;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-label-republican {\r\n color: #95474e;\r\n font: 400 12px/1.3 'Futura Today Light',arial;\r\n letter-spacing: .1em;\r\n margin-left: 64%;\r\n margin-top: -3px;\r\n text-align: center;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-tossup-bar {\r\n color: #666;\r\n display: inline;\r\n font: 400 1em/1.1 'Futura Today Bold',arial;\r\n padding: 15px 17px 5px;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.epm-label-tossup {\r\n color: #666;\r\n font: 400 10px/1.3 'Futura Today Light',arial;\r\n letter-spacing: .1em;\r\n margin-top: -1px;\r\n text-align: center;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-majority-label {\r\n color: #666;\r\n font: 400 .9em/1.3 'Futura Today Bold',arial;\r\n margin-top: 26%;\r\n text-align: center;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-current {\r\n color: #1e1e1e;\r\n font: 400 14px/1 'Futura Today Light',arial;\r\n margin-top: -2px;\r\n text-transform: lowercase\r\n}\r\n\r\n.current.epm-current-majority {\r\n color: #1e1e1e;\r\n float: left;\r\n font-size: 9px;\r\n text-align: center;\r\n width: 100%\r\n}\r\n\r\n.epm-current-num {\r\n color: #666;\r\n font: 400 12px/1.3 'Futura Today Bold',arial;\r\n margin-top: 2px;\r\n text-transform: lowercase\r\n}\r\n\r\n.epm-numb {\r\n font: 400 12px 'Futura Today',arial;\r\n font-weight: 600\r\n}\r\n\r\n.epm-repub-numb {\r\n color: #95474e;\r\n font: 400 1.5em/1.3 'Futura Today',arial;\r\n padding: 15px 0 5px;\r\n position: relative\r\n}\r\n\r\n.epm-democrat-numb {\r\n color: #518296;\r\n font: 400 1.5em/1.3 'Futura Today',arial;\r\n padding: 15px 0 5px;\r\n position: relative\r\n}\r\n\r\n.epm-icon-democrat-right {\r\n color: #547a8a;\r\n float: left;\r\n font-size: 2em;\r\n margin-left: 8px;\r\n margin-top: 8px;\r\n position: absolute\r\n}\r\n\r\n.epm-icon-rep-left {\r\n color: #8f6468;\r\n font-size: 2em;\r\n margin-left: 474px;\r\n margin-top: 8px;\r\n position: absolute;\r\n right: 10px\r\n}\r\n\r\n.epm-holder-page {\r\n margin: 0 auto;\r\n max-width: 98%;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.epm-col {\r\n background-clip: padding-box!important;\r\n border: 0 solid rgba(0,0,0,0);\r\n box-sizing: border-box;\r\n float: left\r\n}\r\n\r\n.elections-span-1 {\r\n margin-top: -5px;\r\n width: 28.333333%\r\n}\r\n\r\n.elections-span-2 {\r\n width: 16.67%\r\n}\r\n\r\n.elections-span-3 {\r\n height: 400px;\r\n width: 25%\r\n}\r\n\r\n.elections-span-4,.epm-media_block {\r\n width: 19%\r\n}\r\n\r\n.elections-span-5 {\r\n width: 41.67%\r\n}\r\n\r\n.elections-span-6,.main_content {\r\n height: 400px;\r\n width: 50%\r\n}\r\n\r\n.elections-span-7 {\r\n width: 35.33%\r\n}\r\n\r\n.elections-span-8 {\r\n width: 66.67%\r\n}\r\n\r\n.elections-span-9 {\r\n width: 75%\r\n}\r\n\r\n.elections-span-10 {\r\n width: 83.33%\r\n}\r\n\r\n.elections-span-11 {\r\n width: 91.67%\r\n}\r\n\r\n.elections-span-12 {\r\n height: 100px;\r\n margin-left: 0;\r\n width: 100%\r\n}\r\n\r\n@font-face {\r\n font-family: us_states;\r\n src: url(http://www.gannett-cdn.com/GDContent/global/fonts/states-large/fonts/us_states.eot?#iefix) format('embedded-opentype'),url(http://www.gannett-cdn.com/GDContent/global/fonts/states-large/fonts/us_states.woff) format('woff'),url(http://www.gannett-cdn.com/GDContent/global/fonts/states-large/fonts/us_states.ttf) format('truetype'),url(http://www.gannett-cdn.com/GDContent/global/fonts/states-large/fonts/us_states.svg) format('svg')\r\n}\r\n\r\n.state-icon {\r\n font-family: us_states;\r\n speak: none;\r\n font-style: normal;\r\n font-weight: 400;\r\n font-variant: normal;\r\n text-transform: none;\r\n line-height: 1\r\n}\r\n\r\n.state-lg-al:before {\r\n content: \"e500\"\r\n}\r\n\r\n.state-lg-ak:before {\r\n content: \"e501\"\r\n}\r\n\r\n.state-lg-az:before {\r\n content: \"e502\"\r\n}\r\n\r\n.state-lg-ar:before {\r\n content: \"e503\"\r\n}\r\n\r\n.state-lg-ca:before {\r\n content: \"e504\"\r\n}\r\n\r\n.state-lg-co:before {\r\n content: \"e505\"\r\n}\r\n\r\n.state-lg-ct:before {\r\n content: \"e506\"\r\n}\r\n\r\n.state-lg-de:before {\r\n content: \"e507\"\r\n}\r\n\r\n.state-lg-fl:before {\r\n content: \"e508\"\r\n}\r\n\r\n.state-lg-ga:before {\r\n content: \"e509\"\r\n}\r\n\r\n.state-lg-hi:before {\r\n content: \"e50a\"\r\n}\r\n\r\n.state-lg-id:before {\r\n content: \"e50b\"\r\n}\r\n\r\n.state-lg-il:before {\r\n content: \"e50c\"\r\n}\r\n\r\n.state-lg-ks:before {\r\n content: \"e50d\"\r\n}\r\n\r\n.state-lg-ia:before {\r\n content: \"e50e\"\r\n}\r\n\r\n.state-lg-ks:before {\r\n content: \"e50f\"\r\n}\r\n\r\n.state-lg-ky:before {\r\n content: \"e510\"\r\n}\r\n\r\n.state-lg-la:before {\r\n content: \"e511\"\r\n}\r\n\r\n.state-lg-me:before {\r\n content: \"e512\"\r\n}\r\n\r\n.state-lg-ma:before {\r\n content: \"e513\"\r\n}\r\n\r\n.state-lg-md:before {\r\n content: \"e514\"\r\n}\r\n\r\n.state-lg-mi:before {\r\n content: \"e515\"\r\n}\r\n\r\n.state-lg-mn:before {\r\n content: \"e516\"\r\n}\r\n\r\n.state-lg-ms:before {\r\n content: \"e517\"\r\n}\r\n\r\n.state-lg-mo:before {\r\n content: \"e518\"\r\n}\r\n\r\n.state-lg-mt:before {\r\n content: \"e519\"\r\n}\r\n\r\n.state-lg-nc:before {\r\n content: \"e51a\"\r\n}\r\n\r\n.state-lg-nd:before {\r\n content: \"e51b\"\r\n}\r\n\r\n.state-lg-ne:before {\r\n content: \"e51c\"\r\n}\r\n\r\n.state-lg-nv:before {\r\n content: \"e51d\"\r\n}\r\n\r\n.state-lg-nh:before {\r\n content: \"e51e\"\r\n}\r\n\r\n.state-lg-nj:before {\r\n content: \"e51f\"\r\n}\r\n\r\n.state-lg-nm:before {\r\n content: \"e520\"\r\n}\r\n\r\n.state-lg-ny:before {\r\n content: \"e521\"\r\n}\r\n\r\n.state-lg-oh:before {\r\n content: \"e522\"\r\n}\r\n\r\n.state-lg-ok:before {\r\n content: \"e523\"\r\n}\r\n\r\n.state-lg-or:before {\r\n content: \"e524\"\r\n}\r\n\r\n.state-lg-pa:before {\r\n content: \"e525\"\r\n}\r\n\r\n.state-lg-ri:before {\r\n content: \"e526\"\r\n}\r\n\r\n.state-lg-sc:before {\r\n content: \"e527\"\r\n}\r\n\r\n.state-lg-sd:before {\r\n content: \"e528\"\r\n}\r\n\r\n.state-lg-tn:before {\r\n content: \"e529\"\r\n}\r\n\r\n.state-lg-tx:before {\r\n content: \"e52a\"\r\n}\r\n\r\n.state-lg-ut:before {\r\n content: \"e52b\"\r\n}\r\n\r\n.state-lg-va:before {\r\n content: \"e52c\"\r\n}\r\n\r\n.state-lg-vt:before {\r\n content: \"e52d\"\r\n}\r\n\r\n.state-lg-wa:before {\r\n content: \"e52e\"\r\n}\r\n\r\n.state-lg-wi:before {\r\n content: \"e52f\"\r\n}\r\n\r\n.state-lg-wv:before {\r\n content: \"e530\"\r\n}\r\n\r\n.state-lg-wy:before {\r\n content: \"e531\"\r\n}\r\n\r\n@font-face {\r\n font-family: icomoon;\r\n src: url(http://www.gannett-cdn.com/GDContent/global/events/elections/icon-fonts/fonts/icomoon.eot?-llhkjl);\r\n src: url(http://www.gannett-cdn.com/GDContent/global/events/elections/icon-fonts/fonts/icomoon.eot?#iefix-llhkjl) format('embedded-opentype'),url(http://www.gannett-cdn.com/GDContent/global/events/elections/icon-fonts/fonts/icomoon.woff?-llhkjl) format('woff'),url(http://www.gannett-cdn.com/GDContent/global/events/elections/icon-fonts/fonts/icomoon.ttf?-llhkjl) format('truetype'),url(http://www.gannett-cdn.com/GDContent/global/events/elections/icon-fonts/fonts/icomoon.svg?-llhkjl#icomoon) format('svg');\r\n font-weight: 400;\r\n font-style: normal\r\n}\r\n\r\n.icon-dem-right,.icon-rep-left {\r\n font-family: icomoon;\r\n speak: none;\r\n font-style: normal;\r\n font-weight: 400;\r\n font-variant: normal;\r\n text-transform: none;\r\n line-height: 1;\r\n -webkit-font-smoothing: antialiased;\r\n -moz-osx-font-smoothing: grayscale\r\n}\r\n\r\n.icon-rep-right:before {\r\n content: \"e600\"\r\n}\r\n\r\n.icon-rep-left:before {\r\n content: \"e601\"\r\n}\r\n\r\n.icon-dem-right:before {\r\n content: \"e602\"\r\n}\r\n\r\n.icon-dem-left:before {\r\n content: \"e603\"\r\n}\r\n\r\n.icon-Republican-right:before {\r\n content: \"e600\"\r\n}\r\n\r\n.icon-Republican-left:before {\r\n content: \"e601\"\r\n}\r\n\r\n.icon-Democratic-right:before {\r\n content: \"e602\"\r\n}\r\n\r\n.icon-Democratic-left:before {\r\n content: \"e603\"\r\n}\r\n\r\n.asset .chart-wrapper.interactive {\r\n margin: 0 auto 30px 0\r\n}\r\n\r\n.graph {\r\n width: 100%;\r\n height: 280px;\r\n margin: 0;\r\n position: relative\r\n}\r\n\r\n.graph:hover {\r\n cursor: pointer\r\n}\r\n\r\n.chart-wrapper.interactive label {\r\n display: block;\r\n margin-left: 400px;\r\n padding-left: 1em\r\n}\r\n\r\n.interactive-header-wrapper {\r\n display: table;\r\n width: auto\r\n}\r\n\r\n.interactive-header {\r\n color: #333;\r\n font: 400 20px/1.1 'Futura Today Bold',sans-serif;\r\n letter-spacing: 1px;\r\n text-transform: uppercase;\r\n margin: 0 0 6px\r\n}\r\n\r\n.asset.standalone.chart .interactive-header-wrapper {\r\n width: 598px\r\n}\r\n\r\n.asset.standalone.chart .pie-chart .interactive-header-wrapper {\r\n width: auto\r\n}\r\n\r\n.interactive .sponsored-by-text {\r\n margin-top: 2px\r\n}\r\n\r\n.chart-wrapper.interactive ul.options li {\r\n list-style: none;\r\n margin-bottom: 1em\r\n}\r\n\r\n.chart-wrapper {\r\n background: #f7f7f7;\r\n display: inline-block;\r\n width: 100%;\r\n border-left: 1px #eaeaea solid;\r\n border-right: 1px #eaeaea solid;\r\n border-bottom: 1px #eaeaea solid;\r\n position: relative;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n.interactive-aside-weather {\r\n border-top: 10px #ffc000 solid\r\n}\r\n\r\n.interactive-aside-news {\r\n border-top: 10px #289efc solid\r\n}\r\n\r\n.interactive-aside-money {\r\n border-top: 10px #00a53c solid\r\n}\r\n\r\n.interactive_barchart-asset .interactive-aside-sports,.interactive_linechart-asset .interactive-aside-sports,.interactive_piechart-asset .interactive-aside-sports {\r\n border-top: 10px #b81800 solid\r\n}\r\n\r\n.interactive-aside-life {\r\n border-top: 10px #9600b4 solid\r\n}\r\n\r\n.interactive-aside-tech {\r\n border-top: 10px #fa5f00 solid\r\n}\r\n\r\n.interactive-aside-travel {\r\n border-top: 10px #00c3c3 solid\r\n}\r\n\r\n.interactive-aside-opinion {\r\n border-top: 10px #666 solid\r\n}\r\n\r\n.chart-wrapper .chart-content {\r\n width: 50%;\r\n height: auto;\r\n float: left\r\n}\r\n\r\n.chart-wrapper .chart-content section {\r\n margin: 16px 20px 10px;\r\n position: relative\r\n}\r\n\r\n.chart-wrapper .chart-content h3.subhead {\r\n color: #343434;\r\n display: inline;\r\n font-size: 14px;\r\n font-weight: 700\r\n}\r\n\r\n.chart-wrapper .chart-content p.chatter {\r\n color: #666;\r\n font-size: 12px;\r\n line-height: 18px;\r\n margin: 5px 0 0\r\n}\r\n\r\n.chart-wrapper .chart-area {\r\n position: relative;\r\n width: 50%;\r\n float: right;\r\n background: #fff\r\n}\r\n\r\n.chart-area {\r\n padding-top: 10px\r\n}\r\n\r\n.chart-area-legend {\r\n display: inline-block;\r\n margin: 0 1px 0 7px;\r\n padding: 8px 0 0\r\n}\r\n\r\n.chart-area-legend ul {\r\n padding: 0\r\n}\r\n\r\n.chart-area-legend ul.row-1 {\r\n float: left;\r\n margin: 0;\r\n width: 100px\r\n}\r\n\r\n.chart-area-legend ul.row-2 {\r\n float: left;\r\n margin: 0;\r\n width: 120px\r\n}\r\n\r\n.interactive_linechart-asset,.interactive_barchart-asset {\r\n display: inline-block;\r\n min-width: 600px\r\n}\r\n\r\n.interactive_linechart-asset .graph,.interactive_barchart-asset .graph {\r\n left: 8px\r\n}\r\n\r\n.chart-area-legend ul li {\r\n width: auto;\r\n line-height: 25px;\r\n margin: 4px 0 0;\r\n padding: 0;\r\n position: relative;\r\n list-style-type: none;\r\n background-image: url(../../images/interactives/charts/chart-list-bg.png);\r\n background-position: 0 -9px;\r\n background-repeat: repeat-x\r\n}\r\n\r\n.chart-legend-title {\r\n color: #343434;\r\n font: 400 11px/2.2 'Futura Today',sans-serif;\r\n text-transform: uppercase;\r\n margin: 0 0 0 24px;\r\n padding: 0\r\n}\r\n\r\n.chart-area-footer {\r\n bottom: 20px;\r\n position: absolute;\r\n color: #b3b3b3;\r\n font-size: 16px;\r\n font-weight: 400;\r\n left: 18px;\r\n margin: 5px 0 0 10px;\r\n width: 80%\r\n}\r\n\r\n.chart-area-footer .source {\r\n bottom: 4px;\r\n color: #b3b3b3;\r\n font-size: 10px;\r\n font-weight: 400;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.chart-area-footer .credit {\r\n bottom: 4px;\r\n color: #b3b3b3;\r\n font-size: 10px;\r\n font-weight: 400;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.data-point-label {\r\n font: 400 12px 'Futura Today Bold',sans-serif;\r\n text-align: center\r\n}\r\n\r\n.xAxis {\r\n color: #666\r\n}\r\n\r\n.yAxis {\r\n color: #999\r\n}\r\n\r\n.yAxisLabel {\r\n color: #999;\r\n font-size: 70%;\r\n height: 300px;\r\n left: 20px;\r\n margin: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n text-align: center;\r\n text-transform: uppercase;\r\n transform: rotate(270deg);\r\n -webkit-transform: rotate(270deg);\r\n -moz-transform: rotate(270deg);\r\n -ms-transform: rotate(270deg);\r\n -o-transform: rotate(270deg);\r\n white-space: nowrap;\r\n width: 300px\r\n}\r\n\r\n.lt-ie9 .yAxisLabel {\r\n writing-mode: bt-rl\r\n}\r\n\r\n.xAxisLabel {\r\n position: relative;\r\n text-align: center;\r\n font-size: 70%;\r\n color: #999;\r\n text-transform: uppercase;\r\n margin: 14px 0 0\r\n}\r\n\r\n.chart-area .chart-hover {\r\n background-color: #fff;\r\n border: 1px solid #cbcbcb;\r\n box-shadow: 2px 3px 12px -4px #000;\r\n display: none;\r\n margin: 25px;\r\n position: absolute;\r\n width: 139px;\r\n z-index: 199\r\n}\r\n\r\n.chart-area .chart-hover h3 {\r\n border-bottom: 1px solid #ccc;\r\n color: #666;\r\n font: 400 14px/17px 'Futura Today Bold',arial,sans-serif;\r\n margin: 0 8px;\r\n padding: 8px 0 4px;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.chart-area .chart-hover h3.empty {\r\n border-bottom: 0;\r\n padding: 0\r\n}\r\n\r\n.chart-area .chart-hover h4 {\r\n font: 400 20px/20px 'Futura Today Bold',arial,sans-serif;\r\n margin: 8px 8px 10px;\r\n padding: 0;\r\n text-align: center\r\n}\r\n\r\n.chart-area .chart-hover p {\r\n color: #666;\r\n font: 400 11px/1.5 arial,sans-serif;\r\n margin: 0 8px;\r\n padding: 0 0 12px\r\n}\r\n\r\n.chart-area .chart-hover img {\r\n margin: 0 8px 12px\r\n}\r\n\r\n.chart-area .pointer-left,.chart-area .pointer-right,.chart-area .pointer-top,.chart-area .pointer-bottom,.chart-area .pointer-left:after,.chart-area .pointer-right:after,.chart-area .pointer-top:after,.chart-area .pointer-bottom:after {\r\n position: absolute\r\n}\r\n\r\n.chart-area .pointer-left {\r\n border-top: 9px solid transparent;\r\n border-right: 15px solid #cbcbcb;\r\n border-bottom: 9px solid transparent;\r\n border-left: transparent;\r\n left: -16px;\r\n top: 40.7%;\r\n z-index: 199\r\n}\r\n\r\n.chart-area .pointer-left:after {\r\n content: '';\r\n border-top: 10px solid transparent;\r\n border-right: 16px solid #fff;\r\n border-bottom: 10px solid transparent;\r\n border-left: transparent;\r\n left: 1px;\r\n top: -10px\r\n}\r\n\r\n.chart-area .pointer-right {\r\n border-top: 9px solid transparent;\r\n border-right: transparent;\r\n border-bottom: 9px solid transparent;\r\n border-left: 15px solid #cbcbcb;\r\n left: 141px;\r\n top: 40.7%\r\n}\r\n\r\n.chart-area .pointer-right:after {\r\n content: '';\r\n border-top: 10px solid transparent;\r\n border-right: transparent;\r\n border-bottom: 10px solid transparent;\r\n border-left: 16px solid #fff;\r\n left: -17px;\r\n top: -10px\r\n}\r\n\r\n.chart-area .pointer-top {\r\n border-top: transparent;\r\n border-right: 9px solid transparent;\r\n border-bottom: 15px solid #cbcbcb;\r\n border-left: 9px solid transparent;\r\n left: 61px;\r\n top: -15px\r\n}\r\n\r\n.chart-area .pointer-top:after {\r\n content: '';\r\n border-top: transparent;\r\n border-right: 10px solid transparent;\r\n border-bottom: 16px solid #fff;\r\n border-left: 10px solid transparent;\r\n left: -10px;\r\n top: 2px\r\n}\r\n\r\n.chart-area .pointer-bottom {\r\n border-top: 15px solid #cbcbcb;\r\n border-right: 9px solid transparent;\r\n border-bottom: transparent;\r\n border-left: 9px solid transparent;\r\n left: 61px;\r\n top: 100%\r\n}\r\n\r\n.chart-area .pointer-bottom:after {\r\n content: '';\r\n border-top: 16px solid #fff;\r\n border-right: 10px solid transparent;\r\n border-bottom: transparent;\r\n border-left: 10px solid transparent;\r\n left: -10px;\r\n top: -17px\r\n}\r\n\r\n.cf {\r\n zoom: 1\r\n}\r\n\r\n.cf:before,.cf:after {\r\n content: \"\";\r\n display: table\r\n}\r\n\r\n.cf:after {\r\n clear: both\r\n}\r\n\r\n.chart-wrapper.interactive.pie-chart {\r\n background: #f8f8f8 url(../../images/interactives/charts/left-shadow.jpg) 50% 0 repeat-y;\r\n margin-bottom: 0;\r\n width: 100%\r\n}\r\n\r\n.pie-chart .pieLabel {\r\n display: none\r\n}\r\n\r\n.interactive.pie-chart .chart-area {\r\n height: 368px\r\n}\r\n\r\n.standalone .interactive.pie-chart .chart-area {\r\n padding-top: 10px\r\n}\r\n\r\n.pie-chart .interactive-header-wrapper-column {\r\n display: block\r\n}\r\n\r\n.interactive.pie-chart .sponsored-by-text {\r\n float: left;\r\n margin: 9px 5px 0 0\r\n}\r\n\r\n.pie-chart .interactive-sponsor {\r\n float: none;\r\n padding-left: 0;\r\n width: auto\r\n}\r\n\r\n.pie-chart .interactive-header-wrapper {\r\n margin: 15px 19px;\r\n width: auto\r\n}\r\n\r\n.pie-chart .chart-area-legend {\r\n margin: 26px 0 0\r\n}\r\n\r\n.pie-chart .chart-legend-title {\r\n color: #666;\r\n font-size: 14px;\r\n line-height: 18px\r\n}\r\n\r\n.pie-chart .chart-area-legend .row-1 {\r\n float: none;\r\n left: 0;\r\n position: relative;\r\n width: 200px\r\n}\r\n\r\n.pie-chart .chart-area-legend li {\r\n background-image: url(../../images/interactives/charts/chart-list-dark-bg.png);\r\n background-position: 0 -11px;\r\n margin: 0 0 13px\r\n}\r\n\r\n.chart-wrapper.interactive.pie-chart .chart-content .chatter {\r\n max-height: 74px;\r\n overflow: hidden\r\n}\r\n\r\n.pie_footer {\r\n width: 100%;\r\n position: absolute;\r\n bottom: 0\r\n}\r\n\r\n.regular-graph-label {\r\n display: block;\r\n font-weight: 400;\r\n font-size: 15px\r\n}\r\n\r\n.pie-chart .chart-area-footer {\r\n bottom: 10px;\r\n left: auto;\r\n margin: 0 20px;\r\n padding-top: 10px;\r\n position: absolute;\r\n right: 0;\r\n text-align: right;\r\n width: auto\r\n}\r\n\r\n.pie-chart .chart-area-footer .source,.pie-chart .chart-area-footer .credit,.pie-chart .chart-area-footer .footnotes {\r\n color: #999;\r\n font-size: 10px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.pie-chart .chart-area-footer .footnotes {\r\n margin-top: 5px;\r\n max-height: 30px;\r\n overflow: hidden\r\n}\r\n\r\n.chart-wrapper.interactive.pie-chart .graph-label {\r\n left: 0;\r\n margin: auto;\r\n overflow: hidden;\r\n right: 0;\r\n position: absolute;\r\n text-align: center;\r\n top: 130px;\r\n width: 33%\r\n}\r\n\r\n.chart-wrapper.interactive.pie-chart .graph-label.no-regular-graph-label {\r\n height: 20px;\r\n top: 130px\r\n}\r\n\r\n.chart-wrapper.interactive.pie-chart .graph-label .regular-graph-heading {\r\n font-family: 'Futura Today';\r\n font-size: 18px;\r\n font-weight: 700;\r\n line-height: 20px;\r\n margin: 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.interactive-piechart-graph-label {\r\n display: block;\r\n font-size: 15px;\r\n font-weight: 400;\r\n line-height: 24px;\r\n text-transform: uppercase\r\n}\r\n\r\n.fcpm-wrap {\r\n background: #fff;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.fcpm-wrap .front-bump-shadow {\r\n display: none\r\n}\r\n\r\n.fcpm-ssts-label {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 3\r\n}\r\n\r\n.fcpm-wrap .paginator-indicator-bullet {\r\n background: #d1d1d1;\r\n background: rgba(0,0,0,.2);\r\n box-shadow: none\r\n}\r\n\r\n.fcpm-wrap .paginator-indicator-bullet.active:after {\r\n box-shadow: none\r\n}\r\n\r\n.fcpm-wrap .paginator-indicator-target {\r\n padding: 0 0 20px 8px\r\n}\r\n\r\n.fcpm-wrap .paginator-indicator-target:first-child {\r\n padding-left: 0\r\n}\r\n\r\n.fcpm-items-wrap {\r\n display: table;\r\n margin: 0;\r\n padding: 20px 0;\r\n position: relative;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.fcpm-item {\r\n display: table-cell;\r\n vertical-align: top\r\n}\r\n\r\n.fcpm-img {\r\n border: 1px solid transparent;\r\n border-bottom-color: #bebebe;\r\n border-bottom-color: rgba(190,190,190,.6);\r\n box-shadow: 0 2px 2px 0 rgba(190,190,190,.6);\r\n margin-bottom: 5px;\r\n -webkit-transition: border-color .15s;\r\n -moz-transition: border-color .15s;\r\n transition: border-color .15s\r\n}\r\n\r\n.fcpm-link:hover .fcpm-img {\r\n border-color: #009bff\r\n}\r\n\r\n.fcpm-h3 {\r\n display: table-cell;\r\n font: 400 13px Helvetica,Arial,sans-serif;\r\n line-height: 18px;\r\n text-overflow: ellipsis\r\n}\r\n\r\n.fcpm-no-img .fcpm-h3 {\r\n display: block;\r\n font: 400 24px \"Futura Today Light\",Helvetica,Arial,sans-serif;\r\n line-height: 26px;\r\n margin-top: 36px;\r\n padding-top: 0\r\n}\r\n\r\n.fcpm-link {\r\n color: #333;\r\n display: block;\r\n margin: 0 20px;\r\n min-height: 190px;\r\n position: relative;\r\n -webkit-transition: background-color,border-color .15s,.15s;\r\n -moz-transition: background-color,border-color .15s,.15s;\r\n transition: background-color,border-color .15s,.15s;\r\n width: 200px;\r\n word-wrap: break-word\r\n}\r\n\r\n.fcpm-no-img .fcpm-link {\r\n border: 1px solid #e0e0e0;\r\n min-height: 200px;\r\n padding: 0 10px;\r\n width: 178px\r\n}\r\n\r\n.fcpm-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.fcpm-no-img .fcpm-link:hover {\r\n background-color: #009bff;\r\n color: #fff\r\n}\r\n\r\n.fcpm-video-icon {\r\n color: #323232;\r\n display: table-cell;\r\n font: 28px 'Gannett Icons';\r\n line-height: 34px;\r\n padding: 0 7px 0 0;\r\n -webkit-transition: color .15s;\r\n -moz-transition: color .15s;\r\n transition: color .15s;\r\n vertical-align: top\r\n}\r\n\r\n.fcpm-video-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.fcpm-link:hover .fcpm-video-icon {\r\n color: #009bff\r\n}\r\n\r\n.stag .featured-content-no-border,.featured-content-no-border {\r\n border: 0\r\n}\r\n\r\n.featured-content-updated {\r\n color: #666;\r\n color: rgba(102,102,102,1);\r\n font-size: 11px;\r\n line-height: 14px\r\n}\r\n\r\n.fcsm-wrap {\r\n height: 100%;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.fcsm-light-wrap {\r\n background: #f9f9f9\r\n}\r\n\r\n.fcsm-link {\r\n display: block;\r\n padding: 0;\r\n position: relative;\r\n transition: color .15s;\r\n -moz-transition: color .15s;\r\n -webkit-transition: color .15s\r\n}\r\n\r\n.fcsm-cell>.fcsm-link {\r\n width: 90px\r\n}\r\n\r\n.fcsm-light-link {\r\n color: #333\r\n}\r\n\r\n.fcsm-link.fcsm-light-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.fcsm-dark-link,.fcsm-dark-link:hover {\r\n color: #fff\r\n}\r\n\r\n.fcsm-row {\r\n display: table-row\r\n}\r\n\r\n.fcsm-cell {\r\n display: table-cell;\r\n padding: 0 0 15px 20px\r\n}\r\n\r\n.fcsm-img-wrap {\r\n position: relative\r\n}\r\n\r\n.fcsm-img-wrap:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n -webkit-transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.fcsm-img-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 50%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.fcsm-link:hover .fcsm-img-wrap:before {\r\n background: transparent\r\n}\r\n\r\n.fcsm-hed-wrap {\r\n bottom: 0;\r\n left: 0;\r\n margin: 7px;\r\n position: absolute;\r\n z-index: 10\r\n}\r\n\r\n.fcsm-headline {\r\n display: table-cell;\r\n font: 400 15px Helvetica,Arial,sans-serif;\r\n line-height: 18px;\r\n margin: 0;\r\n padding: 0;\r\n text-shadow: 0 1px 1px #000;\r\n vertical-align: middle\r\n}\r\n\r\n.fcsm-first .fcsm-headline {\r\n color: #fff\r\n}\r\n\r\n.fcsm-cell .fcsm-hed-wrap {\r\n margin: 10px 0 0;\r\n position: relative\r\n}\r\n\r\n.fcsm-cell .fcsm-headline {\r\n font: 400 12px Helvetica,Arial,sans-serif;\r\n line-height: 15px;\r\n margin: 0;\r\n padding: 0;\r\n text-align: left;\r\n text-shadow: none\r\n}\r\n\r\n.fcsm-img {\r\n box-shadow: 0 2px 2px 0 rgba(0,0,0,.08);\r\n height: 111px;\r\n margin-bottom: 0;\r\n transition: border-color .15s;\r\n -moz-transition: border-color .15s;\r\n -webkit-transition: border-color .15s;\r\n width: 198px\r\n}\r\n\r\n.fcsm-img-thumb {\r\n box-shadow: 0 2px 2px 0 rgba(0,0,0,.08);\r\n width: 89px;\r\n height: 50px\r\n}\r\n\r\n.fcsm-light-img {\r\n border: 1px solid #dadada;\r\n box-shadow: 0 2px 2px rgba(190,190,190,.6)\r\n}\r\n\r\n.fcsm-link:hover .fcsm-light-img {\r\n border-color: #009bff\r\n}\r\n\r\n.fcsm-first .fcsm-link:hover .fcsm-light-img {\r\n border-color: #dadada\r\n}\r\n\r\n.fcsm-video-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 25px;\r\n line-height: 24px;\r\n padding: 0 7px 0 0;\r\n vertical-align: top\r\n}\r\n\r\n.fcsm-video-icon:before {\r\n content: \"v\"\r\n}\r\n\r\n.fcsm-cell .fcsm-video-icon {\r\n font-size: 20px;\r\n line-height: 21px;\r\n padding: 0 5px 0 0\r\n}\r\n\r\n.fcsm-first {\r\n padding: 20px;\r\n width: 100%;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .fcsm-img {\r\n width:299px;\r\n height: 168px\r\n }\r\n \r\n .fcsm-img-thumb {\r\n width: 139px;\r\n height: 78px\r\n }\r\n \r\n .fcsm-cell>.fcsm-link {\r\n width: 140px\r\n }\r\n \r\n .fcsm-play-btn-medium {\r\n top: 50px;\r\n right: 5px\r\n }\r\n \r\n .fcsm-headline {\r\n font-size: 18px;\r\n line-height: 22px\r\n }\r\n \r\n .fcsm-cell .fcsm-headline {\r\n font-size: 13px;\r\n line-height: 18px\r\n }\r\n \r\n .fcsm-video-icon {\r\n font-size: 28px;\r\n line-height: 28px;\r\n padding-right: 10px\r\n }\r\n \r\n .fcsm-cell .fcsm-video-icon {\r\n font-size: 25px;\r\n line-height: 35px;\r\n padding-right: 7px\r\n }\r\n \r\n .fcsm-hed-wrap {\r\n margin: 12px\r\n }\r\n}\r\n\r\n.stagfront-primary .fcpm-wrap {\r\n background: transparent\r\n}\r\n\r\n.stagfront-primary .fcpm-link,.stagfront-primary .fcpm-link .fcpm-video-icon {\r\n color: #fff\r\n}\r\n\r\n.stagfront-primary .fcpm-img {\r\n box-shadow: none\r\n}\r\n\r\n.featured-content-with-advertising-list {\r\n list-style-type: none;\r\n margin: 0;\r\n padding: 10px 0;\r\n position: relative;\r\n height: 270px\r\n}\r\n\r\n.featured-content-with-advertising-content-item {\r\n float: left;\r\n text-align: center;\r\n width: 180px\r\n}\r\n\r\n.featured-content-with-advertising-link {\r\n color: #000;\r\n display: block;\r\n font-size: 11px;\r\n padding: 48px 25px 0;\r\n width: 130px;\r\n height: 177px;\r\n vertical-align: middle\r\n}\r\n\r\n.featured-content-with-advertising-link:visited,.featured-content-with-advertising-link:hover {\r\n color: inherit\r\n}\r\n\r\n.featured-content-with-advertising-link .play-btn-m {\r\n left: 95px;\r\n top: 95px;\r\n position: absolute\r\n}\r\n\r\n.featured-content-with-advertising-image-wrapper {\r\n position: relative\r\n}\r\n\r\n.featured-content-with-advertising-headline {\r\n font-size: 13px;\r\n line-height: 18px;\r\n margin-bottom: 4px;\r\n margin-top: 7px;\r\n -o-text-overflow: ellipsis;\r\n -ms-text-overflow: ellipsis;\r\n text-overflow: ellipsis;\r\n overflow: hidden\r\n}\r\n\r\n.featured-content-with-advertising-ad-slot {\r\n padding: 0 0 0 25px;\r\n float: left\r\n}\r\n\r\n.featured-content-with-advertising-ad-slot .partner-placement {\r\n border: 5px solid #fff;\r\n box-shadow: 0 4px 8px 0 #bebebe;\r\n width: 300px;\r\n height: 250px\r\n}\r\n\r\n.featured-content-primary-title {\r\n float: left;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 15px;\r\n color: #333;\r\n text-transform: uppercase;\r\n margin: 0 20px 20px;\r\n padding: 16px 0 0;\r\n text-shadow: 0 1px 0 #fff;\r\n width: 200px\r\n}\r\n\r\n.featured-content-primary-list {\r\n margin-top: -31px;\r\n float: left\r\n}\r\n\r\n.featured-content-primary-list .featured-content-with-advertising-link {\r\n padding-top: 38px\r\n}\r\n\r\n.show-only-when-no-sidebar {\r\n display: none\r\n}\r\n\r\n.featured-content-module .play-btn-m {\r\n bottom: 36px;\r\n left: 95px;\r\n margin-bottom: -24px\r\n}\r\n\r\n@media only screen and (max-width: 1150px) {\r\n .show-only-when-no-sidebar {\r\n display:inline-block\r\n }\r\n}\r\n\r\n.ballot-answer {\r\n margin-top: 20px\r\n}\r\n\r\n.ballot-answer-last {\r\n margin-bottom: 40px\r\n}\r\n\r\n.ballot-answer:hover {\r\n cursor: pointer\r\n}\r\n\r\n.ballot-answers {\r\n clear: left;\r\n list-style: none;\r\n padding-top: 10px\r\n}\r\n\r\n.ballot-answer-title {\r\n font-size: 18px;\r\n line-height: 60px;\r\n word-wrap: break-word\r\n}\r\n\r\n.ballot-question-text {\r\n color: #333;\r\n display: inline-block;\r\n font-size: 22px;\r\n left: 18px;\r\n line-height: 1;\r\n margin: 33px 0 0;\r\n position: relative;\r\n width: 448px\r\n}\r\n\r\n.ballot-inactive {\r\n opacity: .4\r\n}\r\n\r\n.ballot-submit-wrap {\r\n background: #ebebeb url(../../images/apps/ballot/noise-pattern.png) repeat top left;\r\n border-bottom: 1px solid #dcdcdc;\r\n border-top: 1px solid #dcdcdc;\r\n margin-bottom: 10px;\r\n padding: 15px 0;\r\n text-align: center;\r\n width: 100%\r\n}\r\n\r\n.ballot-submit-answers,.ballot-submit-answers:active {\r\n background: #8702a2;\r\n border-color: #77018f;\r\n border-radius: 5px;\r\n color: #fff;\r\n display: inline-block;\r\n font-size: 16px;\r\n font-weight: 600;\r\n padding: 15px 30px;\r\n text-transform: uppercase\r\n}\r\n\r\n.ballot-submit-answers:hover {\r\n cursor: pointer\r\n}\r\n\r\n.ballot-disabled {\r\n opacity: .4;\r\n cursor: default\r\n}\r\n\r\n.ballot-ts .ballot-answer {\r\n background: #fff;\r\n border: 1px solid #dbdbdb;\r\n color: #666;\r\n position: relative\r\n}\r\n\r\n.ballot-ts .ballot-answer-input {\r\n display: none\r\n}\r\n\r\n.ballot-ts .ballot-answer-title {\r\n height: 60px;\r\n padding: 0 100px 0 20px\r\n}\r\n\r\n.ballot-check-mark {\r\n background: url(../../images/apps/ballot/ballot-check-sprite.png) no-repeat center top;\r\n display: inline-block;\r\n height: 42px;\r\n position: absolute;\r\n right: 0;\r\n top: 10px;\r\n width: 40px;\r\n border-left: 1px solid #dbdbdb;\r\n padding: 0 22px;\r\n z-index: 3\r\n}\r\n\r\n.ballot-answer:hover .ballot-check-mark {\r\n background-position: center center\r\n}\r\n\r\n.ballot-answer.selected .ballot-check-mark {\r\n background-position: center bottom\r\n}\r\n\r\n.ballot-questions {\r\n list-style: none;\r\n padding: 0\r\n}\r\n\r\n.ballot-q-denote {\r\n border-bottom: 1px solid #ccc;\r\n color: #9505b4;\r\n display: inline-block;\r\n font-size: 80px;\r\n line-height: 1;\r\n margin-bottom: 3px;\r\n width: 100%\r\n}\r\n\r\n.ballot-question-item-denote {\r\n color: #999;\r\n display: inline-block;\r\n float: left;\r\n font: 16px 'futura today normal',futura,sans-serif;\r\n position: relative;\r\n text-align: center;\r\n width: 73px\r\n}\r\n\r\n.ballot-question-item {\r\n border-bottom: 1px dashed #b7b7b7;\r\n padding-top: 20px\r\n}\r\n\r\n.ballot-question-item-last {\r\n border: 0\r\n}\r\n\r\n.ballot-answer-result {\r\n display: none\r\n}\r\n\r\n.ballot-answer-result-perc {\r\n display: block;\r\n font: 24px Helvetica,Arial;\r\n color: #999;\r\n position: absolute;\r\n right: 14px;\r\n top: 14px\r\n}\r\n\r\n.ballot-answer-result-bar {\r\n display: block;\r\n position: absolute;\r\n bottom: 0;\r\n left: 0;\r\n width: 100%;\r\n background: #eee;\r\n height: 8px\r\n}\r\n\r\n.ballot-answer-result-bar-fill {\r\n background: #9d33b9;\r\n display: block;\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n height: 100%\r\n}\r\n\r\n.ballot-show-results .ballot-answer-title {\r\n line-height: 55px\r\n}\r\n\r\n.ballot-show-results .ballot-answer-result {\r\n display: block\r\n}\r\n\r\n.ballot-show-results .ballot-answer-vote {\r\n display: none\r\n}\r\n\r\n.ballot-show-results .ballot-submit-wrap {\r\n display: none\r\n}\r\n\r\n.ballot-show-results .ballot-answer:hover {\r\n cursor: default\r\n}\r\n\r\n#contain-402 {\r\n visibility: hidden\r\n}\r\n\r\n.p402_hide {\r\n display: none\r\n}\r\n\r\n.slide {\r\n display: none\r\n}\r\n\r\n.slide.active {\r\n display: block\r\n}\r\n\r\n.primary-module.front-galleries-primary-module {\r\n background: #f7f7f7;\r\n text-align: center;\r\n padding: 15px;\r\n box-sizing: border-box\r\n}\r\n\r\n.front-galleries-primary {\r\n position: relative\r\n}\r\n\r\n.front-gallery {\r\n display: none;\r\n height: 650px\r\n}\r\n\r\n.front-gallery.selected {\r\n display: block\r\n}\r\n\r\n.front-gallery-item {\r\n border-bottom: 1px solid rgba(0,0,0,.15);\r\n border-top: 1px solid rgba(255,255,225,.14);\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 15px;\r\n padding: 0\r\n}\r\n\r\n.front-gallery-item:hover {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.05);\r\n border-bottom-color: rgba(0,0,0,.05);\r\n border-top-color: rgba(0,0,0,.05);\r\n cursor: pointer\r\n}\r\n\r\n.front-gallery-item.selected:after {\r\n background: transparent url(../../images/notch.png) no-repeat 0 -9px;\r\n content: '';\r\n height: 16px;\r\n margin-top: -8px;\r\n position: absolute;\r\n right: 0;\r\n top: 50%;\r\n width: 10px\r\n}\r\n\r\n.front-gallery-item.selected {\r\n background-color: rgba(0,0,0,.2);\r\n border-bottom: 0;\r\n border-top-color: rgba(0,0,0,.35);\r\n position: relative\r\n}\r\n\r\n.lt-ie9 .front-gallery-item.selected {\r\n background: transparent url(../../images/pixels/pixel-black-20percent.png) repeat top left\r\n}\r\n\r\n.front-gallery-link,.front-gallery-link:visited,.front-gallery-link:hover {\r\n color: #fff;\r\n display: block;\r\n padding: 20px 10px 20px 15px\r\n}\r\n\r\n.front-gallery .gallery-photo-border {\r\n box-shadow: none;\r\n margin: 0;\r\n padding: 0;\r\n height: 100%;\r\n width: 100%!important\r\n}\r\n\r\n.galleries {\r\n height: 650px;\r\n position: relative;\r\n -webkit-touch-callout: none;\r\n -webkit-user-select: none;\r\n -khtml-user-select: none;\r\n -moz-user-select: none;\r\n -ms-user-select: none;\r\n user-select: none;\r\n width: 100%\r\n}\r\n\r\n.gallery-viewport {\r\n display: table;\r\n overflow: hidden;\r\n padding-top: 10px;\r\n position: relative;\r\n vertical-align: middle;\r\n width: 100%;\r\n z-index: 0\r\n}\r\n\r\n.front-gallery .gallery-viewport,.gallery-primary .gallery-viewport {\r\n height: 540px\r\n}\r\n\r\n.gallery-viewport>.gallery-viewport-slide {\r\n display: none;\r\n height: 100%;\r\n opacity: 0;\r\n position: absolute;\r\n text-align: center;\r\n width: 100%;\r\n z-index: 0\r\n}\r\n\r\n.gallery-viewport>.active {\r\n display: table-cell;\r\n text-align: center;\r\n z-index: 1\r\n}\r\n\r\n.slide.active>.loading {\r\n background: url(../../images/preloaders/windmill-loader_2x_light.gif) center center no-repeat;\r\n box-shadow: none;\r\n height: 520px;\r\n width: 640px\r\n}\r\n\r\n.asset-double-wide .slide.active>.loading {\r\n height: 389px;\r\n width: 540px\r\n}\r\n\r\n.autosize .slide.active>.loading {\r\n height: 720px;\r\n width: 640px\r\n}\r\n\r\n.gallery-photo-border {\r\n box-shadow: 0 4px 8px 0 #c8c8c8;\r\n display: inline-block;\r\n margin-top: 5px;\r\n padding: 5px;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.gallery-photo-border>.ad-slot {\r\n display: none\r\n}\r\n\r\n.story-asset .gallery-photo-border {\r\n background: #fff\r\n}\r\n\r\n.gallery-photo-border>.gallery-viewport-meta {\r\n background-color: rgba(0,0,0,.7);\r\n border-top: 1px solid #020202;\r\n bottom: 5px;\r\n display: table-row;\r\n left: 5px;\r\n padding: 7px 0;\r\n position: absolute;\r\n right: 5px\r\n}\r\n\r\n.lt-ie9 .gallery-photo-border>.gallery-viewport-meta {\r\n background: transparent url(../../images/pixels/pixel-black-70percent.png) repeat;\r\n box-sizing: border-box\r\n}\r\n\r\n.lt-ie10 .gallery-photo-border>.gallery-viewport-meta {\r\n left: 0;\r\n margin: 0 5px;\r\n right: 0\r\n}\r\n\r\n.galleries>.slide-nav {\r\n z-index: 1\r\n}\r\n\r\n.gallery-photo-border>.gallery-viewport-meta,.galleries>.slide-nav {\r\n opacity: 0;\r\n -moz-transition: opacity .2s ease-in-out;\r\n -o-transition: opacity .2s ease-in-out;\r\n transition: opacity .2s ease-in-out\r\n}\r\n\r\n.lt-ie9 .galleries>.slide-nav {\r\n display: none\r\n}\r\n\r\n.lt-ie9 .galleries>.slide-nav,.lt-ie9 .gallery-photo-border>.gallery-viewport-meta {\r\n visibility: hidden\r\n}\r\n\r\n.lt-ie9 .hover>.slide-nav,.lt-ie9 .hover>.active>.gallery-photo-border>.gallery-viewport-meta,.lt-ie9 .autosize .active>.gallery-photo-border>.on {\r\n visibility: visible\r\n}\r\n\r\n.gallery-viewport>.active,.hover>.active>.gallery-photo-border>.gallery-viewport-meta,.active>.gallery-photo-border>.on,.hover>.slide-nav {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.hover>.active>.gallery-photo-border>.on>.mycapture-small-btn {\r\n visibility: hidden\r\n}\r\n\r\n.hover>.active>.gallery-photo-border>.mycapture-small-btn {\r\n visibility: hidden\r\n}\r\n\r\n.gallery.fullscreen .active .mycapture-small-btn {\r\n bottom: 0;\r\n right: 0\r\n}\r\n\r\n.touch .gallery-viewport-slide>.snapshot-card>.snapshot>.gallery-viewport-meta {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.lt-ie9 .gallery-viewport-meta>.gallery-viewport-caption,.lt-ie9 .gallery-viewport-meta>.gallery-viewport-toolbox {\r\n -ms-filter: none;\r\n filter: none;\r\n position: relative\r\n}\r\n\r\n.gallery-viewport-meta>.gallery-viewport-caption {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #fff;\r\n display: table-cell;\r\n float: left;\r\n font-family: Arial;\r\n font-size: 12px;\r\n line-height: 18px;\r\n min-height: 29px;\r\n padding: 3px 10px 3px 18px;\r\n text-align: left;\r\n -webkit-touch-callout: text;\r\n -webkit-user-select: text;\r\n -khtml-user-select: text;\r\n -moz-user-select: text;\r\n -ms-user-select: text;\r\n user-select: text;\r\n vertical-align: middle;\r\n width: 90%\r\n}\r\n\r\n.gallery-viewport-caption>.credit {\r\n color: #999;\r\n font-size: 11px\r\n}\r\n\r\n.snapshots .source {\r\n display: inline-block;\r\n width: 100%\r\n}\r\n\r\n.gallery-photo-border>.gallery-viewport-meta {\r\n color: #999;\r\n font-size: 11px\r\n}\r\n\r\n.gallery-photo-border>.gallery-viewport-meta>.toolbox {\r\n display: table-cell;\r\n padding: 0 0 0 9px;\r\n position: inherit;\r\n right: 0;\r\n top: 30%;\r\n width: 46px\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link {\r\n border: 1px solid #999;\r\n border-radius: 3px;\r\n color: #999;\r\n cursor: pointer;\r\n direction: ltr;\r\n display: block;\r\n float: left;\r\n font: 700 11px arial,sans-serif;\r\n height: 16px;\r\n line-height: 18px;\r\n margin: 0 5px;\r\n overflow: hidden;\r\n padding: 5px 6px 2px 4px;\r\n text-indent: -101%;\r\n width: 20px\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link:hover {\r\n border: 1px solid #fff;\r\n color: #fff\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link.related {\r\n display: none\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link>.meta-fullscreen {\r\n background: url(../../images/components/ft-share-btns.png) no-repeat;\r\n clear: none;\r\n float: left;\r\n height: 20px;\r\n width: 20px\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link>.meta-fullscreen {\r\n background-position: -170px -6px;\r\n margin-left: 1px\r\n}\r\n\r\n.gallery-viewport-toolbox .gallery-viewport-fullscreen-link:hover>.meta-fullscreen {\r\n background-position: -212px -6px\r\n}\r\n\r\n.gallery-thumbs {\r\n bottom: 0;\r\n height: 100px;\r\n left: 15px;\r\n overflow: hidden;\r\n position: absolute;\r\n right: 16px\r\n}\r\n\r\n.thumbswrapper>.thumbslist {\r\n display: inline-block;\r\n list-style: none;\r\n list-style-image: none;\r\n margin: 0;\r\n position: relative\r\n}\r\n\r\n.gallery-thumbs .thumbswrapper {\r\n padding: 4px 0 0 1px\r\n}\r\n\r\n.autosize .gallery-thumbs .thumbswrapper {\r\n padding: 0 15px\r\n}\r\n\r\n.topic .gallery-thumbs .thumbswrapper {\r\n padding-left: 20px\r\n}\r\n\r\n.thumbslist>.thumb-item {\r\n background: url(../../images/dropshadows/gallery-thumb.png) -3px -3px no-repeat;\r\n cursor: pointer;\r\n display: table-cell;\r\n height: 63px;\r\n padding-right: 18px;\r\n position: relative;\r\n width: 108px\r\n}\r\n\r\n.thumb-item>.thumb-link {\r\n border: 5px solid #fff;\r\n display: inline-block;\r\n outline: 0;\r\n -moz-transition: border-color .5s;\r\n -o-transition: border-color .5s;\r\n -webkit-transition: border-color .5s;\r\n transition: border-color .5s\r\n}\r\n\r\n.thumb-item.active>.thumb-link {\r\n border-color: #1ea3ff\r\n}\r\n\r\n.thumb-link>.thumb-image {\r\n height: 45px;\r\n max-width: none;\r\n width: 80px\r\n}\r\n\r\n.asset-double-wide .thumb-link>.thumb-link {\r\n width: 80px\r\n}\r\n\r\n.gallery-thumbs>.indicator {\r\n bottom: 10px;\r\n left: 0;\r\n position: absolute;\r\n right: 0\r\n}\r\n\r\n.autosize .horizontal-scroll {\r\n bottom: 4px\r\n}\r\n\r\n.feature-btns {\r\n display: none\r\n}\r\n\r\n.slide-nav {\r\n background: url(../../images/sprites/galleries-sprite.png) no-repeat;\r\n direction: ltr;\r\n display: block;\r\n float: left;\r\n height: 95px;\r\n left: 0;\r\n margin-bottom: -47.5px;\r\n overflow: hidden;\r\n position: relative;\r\n text-indent: -99999px;\r\n top: -50%;\r\n width: 46px\r\n}\r\n\r\n.story-asset .slide-nav {\r\n top: -330px\r\n}\r\n\r\n.media-main-view .slide-nav {\r\n top: -270px\r\n}\r\n\r\n.slide-nav:hover {\r\n background-position: -129px -5px;\r\n cursor: pointer\r\n}\r\n\r\n.gallery-prev {\r\n background-position: -129px -102px;\r\n display: none\r\n}\r\n\r\n.gallery-next {\r\n background-position: -178px -102px;\r\n clear: none;\r\n float: right;\r\n right: 0\r\n}\r\n\r\n.gallery-next:hover {\r\n background-position: -178px -5px\r\n}\r\n\r\n.embedded_story .slide-nav {\r\n height: 60px;\r\n margin-bottom: -30px;\r\n width: 30px\r\n}\r\n\r\n.embedded_story .slide-nav:hover {\r\n background-position: -59px -4px\r\n}\r\n\r\n.embedded_story .gallery-prev {\r\n background-position: -59px -65px\r\n}\r\n\r\n.embedded_story .gallery-next {\r\n background-position: -89px -65px\r\n}\r\n\r\n.embedded_story .gallery-next:hover {\r\n background-position: -89px -4px\r\n}\r\n\r\n.embedded_story .gallery-photo-border>.gallery-viewport-meta {\r\n right: 6px\r\n}\r\n\r\n.front-galleries .ui-loader {\r\n margin-top: -50px\r\n}\r\n\r\n.asset .galleries {\r\n background: #fafafa;\r\n border: 1px solid #f2f2f2;\r\n border-radius: 3px;\r\n height: auto;\r\n margin: 10px 0 20px 60px;\r\n width: 540px\r\n}\r\n\r\n.asset .galleries.full {\r\n margin: 20px -5px 20px 70px;\r\n width: 878px\r\n}\r\n\r\n.asset .gallery-viewport {\r\n height: 390px;\r\n margin-bottom: 90px;\r\n padding: 8px 0\r\n}\r\n\r\n.asset .galleries.full>.gallery-viewport {\r\n height: 510px\r\n}\r\n\r\n.asset .galleries.full .slide-nav {\r\n top: -390px\r\n}\r\n\r\n.asset .gallery-viewport>.slide-active {\r\n box-shadow: inset 0 7px 15px rgba(0,0,0,.15),inset 0 -1px 0 rgba(0,0,0,.15)\r\n}\r\n\r\n.asset .gallery-photo-border>.gallery-photo {\r\n max-height: 374px;\r\n max-width: 500px\r\n}\r\n\r\n.asset .galleries.full .gallery-photo-border>.gallery-photo {\r\n max-height: 495px;\r\n max-width: 880px\r\n}\r\n\r\n.asset .galleries>.gallery-thumbs>.thumbswrapper {\r\n padding-top: 10px\r\n}\r\n\r\n.asset .full .thumbswrapper>.thumbslist>.thumb-item {\r\n width: 110px\r\n}\r\n\r\n.autosize>.gallery-viewport>.slide.active>.loading {\r\n background: url(../../images/preloaders/windmill-loader_2x_dark.gif) center center no-repeat;\r\n min-height: 65px;\r\n min-width: 65px\r\n}\r\n\r\n.gallery-photo-border.loading>.gallery-viewport-meta {\r\n display: none\r\n}\r\n\r\n.gallery-photo-border.loading>.gallery-photo {\r\n display: none\r\n}\r\n\r\n.autosize>.thumbswrapper>.thumbslist>.thumb-item {\r\n opacity: .5\r\n}\r\n\r\n.gallery.fullscreen {\r\n padding: 0;\r\n position: relative;\r\n width: 100%;\r\n z-index: 202\r\n}\r\n\r\n.gallery.fullscreen .close {\r\n background: url(../../images/sprites/ui-sprite.png) -150px -205px no-repeat;\r\n direction: ltr;\r\n display: block;\r\n height: 50px;\r\n position: absolute;\r\n right: 0;\r\n text-indent: -9999px;\r\n top: 5px;\r\n width: 50px;\r\n z-index: 200\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport {\r\n padding: 0\r\n}\r\n\r\n.galleries.autosize {\r\n height: 100%;\r\n margin: 0;\r\n width: 100%;\r\n z-index: 1\r\n}\r\n\r\n.galleries.autosize>.gallery-thumbs {\r\n background: #000;\r\n background: rgba(0,0,0,.8);\r\n display: none;\r\n height: 78px;\r\n left: 0;\r\n margin: 0;\r\n padding: 6px 0 0;\r\n position: relative;\r\n right: 0\r\n}\r\n\r\n.galleries.autosize>.gallery-thumbs.on {\r\n background: transparent;\r\n background: rgba(0,0,0,.8);\r\n display: block;\r\n -ms-filter: progid:DXImageTransform.Microsoft.gradient(startColorstr=#50000000, endColorstr=#50000000);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr=#50000000, endColorstr=#50000000);\r\n visibility: visible\r\n}\r\n\r\n.galleries.autosize .gallery-thumbs .thumbsmask {\r\n width: auto\r\n}\r\n\r\n.galleries.autosize .gallery-viewport-meta>.toolbox {\r\n display: none;\r\n float: right;\r\n margin: 0\r\n}\r\n\r\n.gallery.fullscreen .toolbox {\r\n display: none\r\n}\r\n\r\n.fullscreen .thumb-item>.thumb-link {\r\n border: 5px solid transparent\r\n}\r\n\r\n.galleries.autosize>.gallery-thumbs .thumb-item.active {\r\n opacity: 1\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport,.galleries.autosize>.gallery-thumbs {\r\n overflow: visible\r\n}\r\n\r\n.gallery-photo {\r\n cursor: pointer\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport>.gallery-viewport-slide .gallery-photo {\r\n height: 100%;\r\n width: auto\r\n}\r\n\r\n.galleries.autosize .slide-nav {\r\n background: transparent url(../../images/sprites/galleries-sprite.png) no-repeat top left;\r\n cursor: pointer;\r\n direction: ltr;\r\n font-size: 0;\r\n height: 133px;\r\n margin-top: -91px;\r\n position: absolute;\r\n text-indent: -101%;\r\n top: 50%;\r\n width: 68px;\r\n z-index: 99\r\n}\r\n\r\n.galleries.autosize .slide-nav.prev {\r\n background-position: -233px -142px;\r\n left: 0\r\n}\r\n\r\n.galleries.autosize .slide-nav.next {\r\n background-position: -304px -142px;\r\n left: 100%;\r\n margin-left: -63px\r\n}\r\n\r\n.galleries.autosize .slide-nav:hover {\r\n background-position: -233px -4px\r\n}\r\n\r\n.galleries.autosize .slide-nav.next:hover {\r\n background-position: -304px -4px\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport>.gallery-viewport-slide .gallery-viewport-meta {\r\n bottom: 0;\r\n left: 0;\r\n margin: 0 auto;\r\n position: absolute;\r\n right: 0;\r\n width: 100%\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport>.gallery-viewport-slide .gallery-viewport-meta>.caption {\r\n margin: 0;\r\n padding-bottom: 13px\r\n}\r\n\r\n.fullscreen .feature-btns {\r\n bottom: 0;\r\n display: block;\r\n height: 40px\r\n}\r\n\r\n.feature-btns .feature-btns-control {\r\n background: #1c1c1c;\r\n border: 1px solid #333;\r\n border-radius: 3px;\r\n clear: none;\r\n color: #fff;\r\n cursor: pointer;\r\n float: left;\r\n font: 700 11px arial,sans-serif;\r\n height: 8px;\r\n margin: 6px 30px 0 13px;\r\n min-width: 66px;\r\n padding: 6px 27px 11px\r\n}\r\n\r\n.feature-btns .ticker {\r\n background: 0;\r\n border: 0;\r\n color: #999;\r\n font: 400 13px arial,sans-serif;\r\n margin-right: 10px;\r\n min-width: 30px;\r\n padding-left: 0\r\n}\r\n\r\n.feature-btns .feature-btns-label {\r\n background: url(../../images/sprites/galleries-sprite.png) no-repeat 0 -105px;\r\n float: left;\r\n height: 12px;\r\n padding-left: 15px\r\n}\r\n\r\n.feature-btns .pause {\r\n background-position: 0 -140px;\r\n height: 11px\r\n}\r\n\r\n.feature-btns .thumbnails .feature-btns-label {\r\n background-position: 0 -116px;\r\n padding-left: 20px;\r\n width: auto\r\n}\r\n\r\n.lt-ie9 .feature-btns .thumbnails .feature-btns-label {\r\n width: 124px\r\n}\r\n\r\n.feature-btns .captions .feature-btns-label {\r\n background-position: 0 -128px;\r\n padding-left: 30px;\r\n width: auto\r\n}\r\n\r\n.galleries.autosize .gallery-photo-border {\r\n box-shadow: none;\r\n height: 100%;\r\n margin: 0;\r\n padding: 0;\r\n width: 100%\r\n}\r\n\r\n.galleries.autosize>.gallery-viewport>.slide.active.endslate {\r\n box-shadow: none;\r\n height: 100%;\r\n margin: 0;\r\n overflow: hidden;\r\n width: 100%\r\n}\r\n\r\n.galleries.autosize .gallery-photo-border>.gallery-endslate {\r\n background: #333;\r\n height: 100%;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.story-asset .gallery-endslate {\r\n height: 375px\r\n}\r\n\r\n.story-asset .gallery-related-link {\r\n color: #969696\r\n}\r\n\r\n.cards .active.endslate {\r\n margin: 0;\r\n padding: 0;\r\n width: 100%\r\n}\r\n\r\n.endslate.loading .gallery-endslate-related {\r\n background: url(../../images/preloaders/windmill-loader_2x_dark.gif) center center no-repeat\r\n}\r\n\r\n.asset .story-asset .active.endslate {\r\n height: 380px\r\n}\r\n\r\n#media-view .active.endslate {\r\n box-shadow: none\r\n}\r\n\r\n.gallery-endslate {\r\n background: #333;\r\n height: 495px\r\n}\r\n\r\n.stag.cards .active.endslate {\r\n width: 920px\r\n}\r\n\r\n.asset .story-asset .gallery-taboola-endslate {\r\n height: 375px\r\n}\r\n\r\n.gallery-endslate-header {\r\n color: #a3a3a3;\r\n font-size: 17px;\r\n margin: 0;\r\n padding-top: 100px;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n#overlay .gallery-endslate-header {\r\n padding-top: 60px\r\n}\r\n\r\n.gallery-endslate-related {\r\n display: block;\r\n list-style: none;\r\n margin: 60px auto auto;\r\n min-height: 150px;\r\n padding: 0 52px;\r\n width: 570px\r\n}\r\n\r\n.galleries.autosize .gallery-endslate-related {\r\n width: 760px\r\n}\r\n\r\n.stag .gallery-endslate-related {\r\n width: 760px\r\n}\r\n\r\n.asset .gallery-endslate-related {\r\n width: 704px\r\n}\r\n\r\n.story-asset .gallery-endslate-related {\r\n left: 0;\r\n margin: 40px auto auto;\r\n padding: 0;\r\n width: 98%\r\n}\r\n\r\n.gallery-related-item {\r\n color: #999;\r\n float: left;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 1.5;\r\n margin: 0 2%;\r\n position: relative;\r\n -moz-transition: color .25s linear;\r\n -o-transition: color .25s linear;\r\n -webkit-transition: color .25s linear;\r\n transition: color .25s linear;\r\n width: 21%\r\n}\r\n\r\n.gallery-related-link,.gallery-related-date {\r\n color: #969696;\r\n float: left;\r\n font-size: 12px;\r\n line-height: 1.2em;\r\n padding-bottom: 8px;\r\n text-align: left\r\n}\r\n\r\n.gallery-related-link:visited {\r\n color: inherit\r\n}\r\n\r\n.gallery-related-link:hover {\r\n color: #f5f5f5\r\n}\r\n\r\n.gallery-related-date.ui-sprite-before:before {\r\n background-position: -998px 5px\r\n}\r\n\r\n.gallery-related-date {\r\n color: #5b5b5b;\r\n font-size: 11px;\r\n font-style: italic;\r\n height: 20px;\r\n line-height: 20px;\r\n overflow: hidden;\r\n padding: 0 0 0 14px;\r\n -o-text-overflow: '';\r\n text-overflow: '';\r\n width: 9em\r\n}\r\n\r\n.front-gallery .taboola-image-crop {\r\n height: 70px\r\n}\r\n\r\n.story-asset .taboola-image-crop {\r\n height: 60px\r\n}\r\n\r\n.taboola-image-crop {\r\n border: 5px solid #5b5b5b;\r\n display: block;\r\n height: 84px;\r\n margin-bottom: 8px;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.taboola-related-img {\r\n width: 100%\r\n}\r\n\r\n.gallery-endslate-actions {\r\n margin: 20px auto;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.story-asset .gallery-endslate-actions {\r\n bottom: 0\r\n}\r\n\r\n.gallery-actions-replay {\r\n background: #1c1c1c;\r\n border-radius: 5px;\r\n color: #777;\r\n cursor: pointer;\r\n display: inline-block;\r\n font-size: 12px;\r\n line-height: 1em;\r\n margin: 0;\r\n padding: 8px 20px\r\n}\r\n\r\n.gallery-actions-replay:hover {\r\n color: #f5f5f5\r\n}\r\n\r\n.gallery-actions-replay:before {\r\n background: url(../../images/sprites/endslate-nav.png) 0 0 no-repeat;\r\n content: \"\";\r\n display: inline-block;\r\n height: 13px;\r\n vertical-align: top;\r\n width: 21px\r\n}\r\n\r\n.gallery-actions-replay:hover:before {\r\n background-position: 0 -26px\r\n}\r\n\r\n.lt-ie9 .galleries.autosize>.feature-btns .captions .feature-btns-label {\r\n width: 110px\r\n}\r\n\r\n.mycapture-btn {\r\n border: 1px solid #999;\r\n border-radius: 3px;\r\n color: #999;\r\n cursor: pointer;\r\n height: 22px;\r\n line-height: 22px;\r\n margin-right: 35px;\r\n padding: 0 2px;\r\n position: absolute;\r\n right: 12px;\r\n width: 22px;\r\n z-index: 1\r\n}\r\n\r\n.mycapture-btn:before {\r\n background: url(../../images/components/utility-btns.png) -838px -137px;\r\n content: '';\r\n height: 20px;\r\n width: 21px;\r\n float: right\r\n}\r\n\r\n.mycapture-btn:hover:before {\r\n background: url(../../images/components/utility-btns.png) -838px -167px\r\n}\r\n\r\n.mycapture-btn:hover {\r\n border-color: #fff;\r\n color: #fff\r\n}\r\n\r\n.gallery-viewport-meta>.gallery-viewport-caption-with-mycapture {\r\n float: left;\r\n width: 75%\r\n}\r\n\r\n.mycapture-small-btn {\r\n background-color: rgba(0,0,0,.7);\r\n bottom: 5px;\r\n color: #999;\r\n font-size: 11px;\r\n height: 17px;\r\n line-height: 18px;\r\n padding-left: 5px;\r\n position: absolute;\r\n right: 5px;\r\n text-align: left;\r\n width: 22px;\r\n z-index: 1\r\n}\r\n\r\n.mycapture-small-btn:before {\r\n background: url(../../images/components/utility-btns.png) -843px -139px;\r\n content: '';\r\n height: 20px;\r\n width: 20px;\r\n float: right\r\n}\r\n\r\n.mycapture-gallery-btn {\r\n top: 30%\r\n}\r\n\r\n.mycapture-cinematic-gallery-btn {\r\n margin-right: 0;\r\n top: 35%\r\n}\r\n\r\n.mycapture-small-btn-cinematic {\r\n display: none;\r\n right: 0;\r\n bottom: 0\r\n}\r\n\r\n.gallery-viewport-meta>.cinematic-caption-with-mycapture {\r\n float: left;\r\n width: 80%\r\n}\r\n\r\n.mycapture-btn-with-text {\r\n width: 77px\r\n}\r\n\r\n.mycapture-btn-longform {\r\n top: 30%\r\n}\r\n\r\n.fgnf-gallery .gallery-photo-border>.gallery-viewport-meta {\r\n bottom: 96px;\r\n left: 0;\r\n padding: 12px 0;\r\n right: 0;\r\n width: 680px\r\n}\r\n\r\n.fgnf-gallery .gallery-photo-border .gallery-viewport-meta>.gallery-viewport-caption {\r\n padding-bottom: 0\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs.gallery-thumbs {\r\n bottom: 5px;\r\n height: 109px;\r\n left: 0;\r\n margin: 0 auto;\r\n right: 0;\r\n text-align: center;\r\n width: 680px\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs.gallery-thumbs .thumbswrapper {\r\n background: rgba(0,0,0,.7);\r\n height: 75px;\r\n padding: 0\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs .thumbswrapper>.thumbslist {\r\n margin-top: 15px\r\n}\r\n\r\n.fgnf-gallery .gallery-photo-border {\r\n box-shadow: none;\r\n height: 100%;\r\n margin: 0;\r\n padding: 0;\r\n width: 100%!important\r\n}\r\n\r\n.fgnf-gallery .partner-slide-ad .gallery-photo-border {\r\n box-shadow: none;\r\n margin-top: 0!important;\r\n padding: 0\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs .thumbslist>.thumb-item {\r\n background: 0;\r\n height: 50px\r\n}\r\n\r\n.fgnf-gallery .thumb-item {\r\n padding-right: 31px\r\n}\r\n\r\n.fgnf-gallery .thumbslist>.first-thumb {\r\n padding-left: 15px\r\n}\r\n\r\n.fgnf-gallery .thumbslist>.last-thumb {\r\n padding-right: 15px\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs .thumb-item>.thumb-link {\r\n border: 1px solid rgba(255,255,255,.1)\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs .thumb-item.active>.thumb-link,.fgnf-gallery .gallery-thumbs .thumb-item>.thumb-link:hover {\r\n border-color: #09f\r\n}\r\n\r\n.front-galleries .slide-nav {\r\n top: -60%\r\n}\r\n\r\n.fgnf-gallery .gallery-photo {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2);\r\n display: block;\r\n margin: 0 auto\r\n}\r\n\r\n.fgnf-gallery,.fgnf-gallery .galleries {\r\n height: 570px;\r\n margin-bottom: 10px\r\n}\r\n\r\n.fgnf-gallery .gallery-endslate {\r\n height: 100%\r\n}\r\n\r\n.fgnf-gallery .gallery-viewport {\r\n height: 512px;\r\n left: 0;\r\n margin: 0 auto;\r\n padding: 20px 0 0;\r\n right: 0;\r\n text-align: center;\r\n width: 680px\r\n}\r\n\r\n.fgnf-gallery .gallery-thumbs {\r\n left: 0\r\n}\r\n\r\n.primary-module.front-galleries-primary-module {\r\n padding: 0\r\n}\r\n\r\n.fgnf-gallery .gallery-photo-border>.gallery-viewport-meta>.toolbox {\r\n padding: 0 12px 0 9px\r\n}\r\n\r\n.fgnf-gallery .partner-placement.slide>.gallery-photo-border.size-s {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.cards .fgnf-gallery .active.endslate {\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.front-gallery .horizontal-scroll {\r\n margin-bottom: 4px;\r\n bottom: 0\r\n}\r\n\r\n.primary-flex-module.front-galleries-primary-flex-module {\r\n background: #f7f7f7;\r\n text-align: center\r\n}\r\n\r\n.fgpfm-nav-list {\r\n display: inline-block;\r\n padding: 0 30px\r\n}\r\n\r\n.fgpfm-nav-item.front-gallery-item {\r\n border: 0;\r\n border-top: 2px solid transparent;\r\n display: table-cell;\r\n max-width: 160px;\r\n padding: 0;\r\n position: relative;\r\n text-align: center;\r\n vertical-align: middle\r\n}\r\n\r\n.fgpfm-nav-item.front-gallery-item:hover {\r\n background: 0\r\n}\r\n\r\n.fgpfm-nav-item.front-gallery-item.selected {\r\n background: 0\r\n}\r\n\r\n.fgpfm-nav-item.front-gallery-item.selected:after {\r\n display: none\r\n}\r\n\r\n.fgpfm-nav-border {\r\n background: #888;\r\n display: block;\r\n opacity: 0;\r\n transition: opacity .2s;\r\n height: 2px;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.fgpfm-nav-item.front-gallery-item.selected .fgpfm-nav-border,.fgpfm-nav-item.front-gallery-item:hover .fgpfm-nav-border {\r\n opacity: 1\r\n}\r\n\r\n.fgpfm-nav-link.front-gallery-link,.fgpfm-nav-link.front-gallery-link:visited,.fgpfm-nav-link.front-gallery-link:hover {\r\n border: 0;\r\n color: #333;\r\n font-size: 12px;\r\n font-weight: 400;\r\n padding: 10px 15px;\r\n position: relative\r\n}\r\n\r\n.fgpfm-gallery.front-gallery {\r\n margin-bottom: 45px\r\n}\r\n\r\n.primary-flex-gallery-viewport .gallery-photo-border {\r\n box-shadow: none;\r\n margin-top: 0\r\n}\r\n\r\n.fgpfm-gallery .snapshots-container {\r\n overflow: hidden\r\n}\r\n\r\n.fgpfm-gallery .snapshots-container .gallery-viewport {\r\n margin: 0 auto;\r\n width: 700px\r\n}\r\n\r\n.fgpfm-gallery .snapshots-container .slide-nav {\r\n top: -70%\r\n}\r\n\r\n.primary-flex-gallery-viewport .gallery-endslate {\r\n height: 100%\r\n}\r\n\r\n@media (min-width: 1321px) {\r\n .fgpfm-gallery.front-gallery,.fgpfm-gallery.front-gallery .gallery-viewport,.fgpfm-gallery.front-gallery,.primary-flex-galleries.galleries,.fgpfm-gallery .galleries {\r\n height:690px\r\n }\r\n \r\n .primary-flex-gallery-thumbs.gallery-thumbs {\r\n left: 20px;\r\n right: 20px\r\n }\r\n \r\n .primary-flex-gallery-viewport .gallery-endslate {\r\n margin: 19px\r\n }\r\n \r\n .primary-flex-gallery-photo-border.gallery-photo-border>.gallery-viewport-meta {\r\n left: 20px;\r\n right: 20px\r\n }\r\n}\r\n\r\n@media (max-width: 1320px) {\r\n .fgpfm-gallery.front-gallery,.fgpfm-gallery.front-gallery .gallery-viewport,.fgpfm-gallery.front-gallery,.primary-flex-galleries.galleries,.fgpfm-gallery .galleries {\r\n height:520px\r\n }\r\n \r\n .fgpfm-gallery.front-gallery.snapshot-placeholder,.fgpfm-gallery .galleries.snapshots-container,.fgpfm-gallery.front-gallery.snapshot-placeholder .gallery-viewport {\r\n height: 660px\r\n }\r\n \r\n .primary-flex-gallery-thumbs.gallery-thumbs {\r\n left: 13px;\r\n right: 13px\r\n }\r\n \r\n .primary-flex-gallery-photo-border.gallery-photo-border>.gallery-viewport-meta {\r\n left: 13px;\r\n right: 13px\r\n }\r\n \r\n .primary-flex-gallery-viewport .gallery-endslate {\r\n height: 520px;\r\n margin: 0 13px\r\n }\r\n}\r\n\r\n.primary-flex-gallery-photo-border.gallery-photo-border>.gallery-viewport-meta {\r\n bottom: 75px;\r\n padding: 7px 0 0\r\n}\r\n\r\n.primary-flex-gallery-photo-border .gallery-viewport-meta>.gallery-viewport-caption {\r\n padding-bottom: 0\r\n}\r\n\r\n.primary-flex-gallery-thumbs.gallery-thumbs {\r\n bottom: -32px;\r\n height: 107px\r\n}\r\n\r\n.primary-flex-gallery-thumbs.gallery-thumbs .thumbswrapper {\r\n background: rgba(0,0,0,.7);\r\n height: 75px;\r\n padding: 0\r\n}\r\n\r\n.primary-flex-gallery-thumbs .thumbswrapper>.thumbslist {\r\n margin-top: 15px\r\n}\r\n\r\n.primary-flex-gallery-photo.gallery-photo {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2);\r\n display: block;\r\n height: 100%;\r\n margin: 0 auto\r\n}\r\n\r\n.primary-flex-gallery-viewport.gallery-viewport {\r\n padding-top: 0\r\n}\r\n\r\n.primary-flex-gallery-photo-border.gallery-photo-border {\r\n box-shadow: none;\r\n margin: 0;\r\n padding: 0;\r\n height: 100%;\r\n width: 100%!important\r\n}\r\n\r\n.fgpfm-gallery .partner-slide-ad .gallery-photo-border {\r\n box-shadow: none;\r\n margin-top: 0!important;\r\n padding: 0\r\n}\r\n\r\n.primary-flex-gallery-thumbs .thumbslist>.thumb-item {\r\n background: 0;\r\n height: 50px\r\n}\r\n\r\n.primary-flex-gallery-thumbs .thumbslist>.thumb-item:first-child {\r\n padding-left: 15px\r\n}\r\n\r\n.primary-flex-gallery-thumbs .thumb-item>.thumb-link {\r\n border: 1px solid rgba(255,255,255,.1)\r\n}\r\n\r\n.primary-flex-gallery-thumbs .thumb-item.active>.thumb-link,.primary-flex-gallery-thumbs .thumb-item>.thumb-link:hover {\r\n border-color: #09f\r\n}\r\n\r\n.primary-flex-galleries .slide-nav {\r\n top: -60%\r\n}\r\n\r\n.site-nav-social-span {\r\n width: 16px\r\n}\r\n\r\n.site-nav-span.site-nav-social-span:after {\r\n background-position: -84px 0;\r\n height: 18px;\r\n margin-left: -9px;\r\n top: 12px;\r\n width: 16px\r\n}\r\n\r\n.site-nav-dropdown.site-nav-social-module-dropdown {\r\n color: #fff;\r\n line-height: normal;\r\n padding: 10px;\r\n width: auto\r\n}\r\n\r\n.social-dropdown-item {\r\n height: 20px;\r\n margin-bottom: 5px\r\n}\r\n\r\n.social-dropdown-item.twitter .twitter-follow {\r\n float: none;\r\n font-size: 12px;\r\n line-height: 20px\r\n}\r\n\r\n.headline-text-collection {\r\n color: #525252;\r\n padding: 20px\r\n}\r\n\r\n.headline-text {\r\n display: inline-block;\r\n height: 90px;\r\n font-size: 12px;\r\n margin: 20px 0 0;\r\n overflow: hidden;\r\n vertical-align: top;\r\n width: 50%\r\n}\r\n\r\n.headline-text-asset-item-title {\r\n font-size: 1.3em;\r\n margin: 0 0 2px\r\n}\r\n\r\n.headline-text-grid-load-story {\r\n color: inherit;\r\n display: block;\r\n margin-right: 130px\r\n}\r\n\r\n.hero {\r\n background: #fff url(../../images/patterns/noise_fff.png);\r\n position: relative;\r\n width: 720px;\r\n z-index: 5\r\n}\r\n\r\n.hero .stage,.hero .slide {\r\n cursor: pointer;\r\n height: 405px;\r\n position: relative\r\n}\r\n\r\n.hero-asset-open {\r\n cursor: pointer\r\n}\r\n\r\n.hero .stage {\r\n background-color: #000;\r\n width: 720px\r\n}\r\n\r\n.hero-color-pixel {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hero .media {\r\n bottom: 0;\r\n position: absolute;\r\n z-index: 50\r\n}\r\n\r\n.hero .media>img {\r\n bottom: 0;\r\n left: 0;\r\n position: absolute;\r\n z-index: 52\r\n}\r\n\r\n.hero .media img.cutout {\r\n z-index: 51\r\n}\r\n\r\n.hero .videoStill,.hero .video .fit-me {\r\n height: 100%;\r\n max-width: 100%\r\n}\r\n\r\n.hero .media,.hero .video {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hero .videoObject {\r\n display: none;\r\n height: 405px;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 720px;\r\n z-index: 9999\r\n}\r\n\r\n.hero .videoObject object {\r\n height: 405px;\r\n vertical-align: middle;\r\n width: 720px\r\n}\r\n\r\n.videoStillPlay {\r\n background-image: url(../../images/buttons/button_video_play.png);\r\n background-position: 0 -2px;\r\n cursor: pointer;\r\n height: 91px;\r\n left: 50%;\r\n margin: -46px 0 0 -46px;\r\n position: absolute;\r\n top: 50%;\r\n visibility: hidden;\r\n width: 93px;\r\n z-index: 54\r\n}\r\n\r\n.hero .videoObject:hover .videoCloseButton {\r\n display: block\r\n}\r\n\r\n.videoCloseButton {\r\n background-image: url(../../images/sprites/ui-sprite.png) -150px -205px no-repeat;\r\n display: none;\r\n height: 50px;\r\n overflow: hidden;\r\n position: absolute;\r\n right: -17px;\r\n text-indent: 105%;\r\n top: -10px;\r\n white-space: nowrap;\r\n width: 50px;\r\n z-index: 1\r\n}\r\n\r\n.hero-headline-video-close {\r\n background-color: rgba(0,0,0,.6);\r\n background-color: #000;\r\n border-radius: 4px;\r\n color: #fff;\r\n cursor: pointer;\r\n display: block;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n padding: 3px;\r\n position: absolute;\r\n right: 0;\r\n text-transform: uppercase;\r\n z-index: 100000\r\n}\r\n\r\n.hero-headline-video-close:hover {\r\n color: #5bb5ff\r\n}\r\n\r\n.hero .temp-loader {\r\n background: #000 url(../../images/preloaders/windmill-loader_2x_dark.gif) no-repeat center center;\r\n display: none;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.videoCloseButton:hover,.videoCloseButton:active {\r\n background-position: -150px -255px\r\n}\r\n\r\n.hero-text:hover>.videoStillPlay,.hero-3up-media:hover .videoStillPlay,.hero-left-pane:hover>.hero-text>.videoStillPlay,.media:hover>.videoStillPlay,.hero-left-pane:hover>.media>.videoStillPlay,.super-hero-module-wrapper:hover .videoStillPlay,.videoStillPlay:hover,.videoStillPlay:active {\r\n background-position: 0 -2px;\r\n cursor: pointer;\r\n visibility: visible\r\n}\r\n\r\n.hero .media .pixel {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.sp-hero-2up.portrait .media .pixel {\r\n height: 480px;\r\n width: 360px\r\n}\r\n\r\n.hero-text {\r\n background: url(data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiA/Pgo8c3ZnIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIgd2lkdGg9IjEwMCUiIGhlaWdodD0iMTAwJSIgdmlld0JveD0iMCAwIDEgMSIgcHJlc2VydmVBc3BlY3RSYXRpbz0ibm9uZSI+CiAgPGxpbmVhckdyYWRpZW50IGlkPSJncmFkLXVjZ2ctZ2VuZXJhdGVkIiBncmFkaWVudFVuaXRzPSJ1c2VyU3BhY2VPblVzZSIgeDE9IjAlIiB5MT0iMCUiIHgyPSIwJSIgeTI9IjEwMCUiPgogICAgPHN0b3Agb2Zmc2V0PSIwJSIgc3RvcC1jb2xvcj0iIzAwMDAwMCIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgICA8c3RvcCBvZmZzZXQ9IjQyJSIgc3RvcC1jb2xvcj0iIzAwMDAwMCIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgICA8c3RvcCBvZmZzZXQ9IjEwMCUiIHN0b3AtY29sb3I9IiMwMDAwMDAiIHN0b3Atb3BhY2l0eT0iMC44Ii8+CiAgPC9saW5lYXJHcmFkaWVudD4KICA8cmVjdCB4PSIwIiB5PSIwIiB3aWR0aD0iMSIgaGVpZ2h0PSIxIiBmaWxsPSJ1cmwoI2dyYWQtdWNnZy1nZW5lcmF0ZWQpIiAvPgo8L3N2Zz4=);\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,0) 42%,rgba(0,0,0,.8) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(42%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.8)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,0) 42%,rgba(0,0,0,.8) 100%);\r\n background: -o-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,0) 42%,rgba(0,0,0,.8) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,0) 42%,rgba(0,0,0,.8) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,0) 42%,rgba(0,0,0,.8) 100%);\r\n height: 100%;\r\n position: relative;\r\n z-index: 53\r\n}\r\n\r\n.lt-ie9 .hero-text {\r\n background: transparent url(../../images/ie-gradients/transparent-to-black-67percent.png) repeat-x bottom left\r\n}\r\n\r\n.hero-story {\r\n bottom: 0;\r\n color: #fff;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 54\r\n}\r\n\r\n.hero-story h1 {\r\n font-size: 35px;\r\n line-height: 40px;\r\n margin: 0 0 0 20px;\r\n width: 75%\r\n}\r\n\r\n.hero-story h1 a {\r\n color: #fff;\r\n font-weight: 700;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n -moz-transition: color .25s ease-out;\r\n -ms-transition: color .25s ease-out;\r\n -o-transition: color .25s ease-out;\r\n -webkit-transition: color .25s ease-out;\r\n transition: color .25s ease-out\r\n}\r\n\r\n.lt-ie10 .hero-story h1 {\r\n filter: DropShadow(Color=#000000,OffX=1,OffY=1,Positive=1)\r\n}\r\n\r\n.hero .slide:hover h1 a {\r\n color: #bfbfbf;\r\n color: rgba(255,255,255,.75)\r\n}\r\n\r\n.hero .actions {\r\n display: table;\r\n list-style: none;\r\n margin: 15px 20px 18px 22px;\r\n padding: 0;\r\n vertical-align: middle\r\n}\r\n\r\n.hero .actions li {\r\n border-left: 1px solid #dedede;\r\n display: table-cell;\r\n font-size: 12px;\r\n padding: 0 10px;\r\n vertical-align: middle;\r\n width: auto\r\n}\r\n\r\n.hero .actions li:first-child {\r\n border-left: 0;\r\n padding-left: 0\r\n}\r\n\r\n.hero .actions li a {\r\n color: #fff;\r\n font-weight: 700\r\n}\r\n\r\n.hero .actions li a:hover {\r\n color: #0af\r\n}\r\n\r\n.hero .actions li a.spike,.hero .actions li a.read {\r\n background: transparent url(../../images/buttons/sprite-hero.png) no-repeat top left;\r\n height: 17px;\r\n min-width: 86px;\r\n padding: 1px 0 2px 22px\r\n}\r\n\r\n.hero .actions li a.spike:hover,.hero .actions li a.read:hover {\r\n background-position: 0 -17px\r\n}\r\n\r\n.hero .actions li a.video {\r\n background-position: 0 -152px\r\n}\r\n\r\n.hero .actions li a.video:hover {\r\n background-position: 0 -170px\r\n}\r\n\r\n.hero .actions li a.external {\r\n background-position: 0 -119px\r\n}\r\n\r\n.hero .actions li a.external:hover {\r\n background-position: 0 -135px\r\n}\r\n\r\n.hero .actions li a.read:hover {\r\n background-position: 0 -17px\r\n}\r\n\r\n.hero .actions li a.topic {\r\n background-position: 0 -34px;\r\n min-height: 16px;\r\n padding: 1px 0 0 18px\r\n}\r\n\r\n.hero .actions li a.topic:hover {\r\n background-position: 0 -51px\r\n}\r\n\r\n.hero-story .byline-block {\r\n display: table-cell;\r\n margin: 0 0 6px 20px;\r\n vertical-align: middle\r\n}\r\n\r\n.hero .actions li .byline {\r\n margin: -3.5px 0 0 0\r\n}\r\n\r\n.hero .actions li a .byline {\r\n color: #fff\r\n}\r\n\r\n.hero .actions li a:hover .byline em {\r\n color: #0af\r\n}\r\n\r\n.hero.super .stage,.hero.super .slide {\r\n height: 600px\r\n}\r\n\r\n.hero.super .media {\r\n background: #000;\r\n overflow: hidden;\r\n text-align: center;\r\n -moz-transition: width .5s;\r\n -ms-transition: width .5s;\r\n -o-transition: width .5s;\r\n -webkit-transition: width .5s;\r\n transition: width .5s;\r\n width: 840px\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .hero.super .media {\r\n width:1080px\r\n }\r\n}\r\n\r\n.hero-1up {\r\n height: 405px;\r\n width: 720px\r\n}\r\n\r\n.hero-2up-contents,.hero-2up {\r\n height: 480px;\r\n width: 720px\r\n}\r\n\r\n.hero-2up-slide {\r\n display: block;\r\n float: left;\r\n height: 100%;\r\n position: relative;\r\n width: 360px\r\n}\r\n\r\n.hero-2up-slide-1 {\r\n margin-right: 1px;\r\n width: 359px\r\n}\r\n\r\n.hero-2up-slide-1>.media,.hero-2up-slide-1>.hero-text {\r\n height: 480px;\r\n overflow: hidden;\r\n width: 359px\r\n}\r\n\r\n.hero-2up .media .pixel {\r\n height: 480px;\r\n width: 360px\r\n}\r\n\r\n.hero-2up-slide>.hero-text>.hero-story>h1 {\r\n margin: 0 20px;\r\n width: auto\r\n}\r\n\r\n.hero-2up-slide:hover>.hero-text>.hero-story>h1>.load-story {\r\n color: #bfbfbf;\r\n color: rgba(255,255,255,.75)\r\n}\r\n\r\n.hero-2up-slide-2 .videoObject {\r\n left: -360px\r\n}\r\n\r\n.card-hero-3up.hero {\r\n height: 480px;\r\n width: 720px\r\n}\r\n\r\n.hero-3up {\r\n background: #000;\r\n height: 405px;\r\n width: 960px\r\n}\r\n\r\n.hero-3up-pixel {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hero-3up-pixel-2,.hero-3up-pixel-3 {\r\n height: 113px;\r\n margin: 20px 20px 7px;\r\n width: 200px\r\n}\r\n\r\n.hero-3up-media-noimage {\r\n height: 100%;\r\n position: relative;\r\n width: 100%;\r\n z-index: 50\r\n}\r\n\r\n.hero-3up-media {\r\n height: 100%;\r\n position: relative;\r\n width: 100%;\r\n z-index: 50\r\n}\r\n\r\n.hero-3up-tile-1>.hero-3up-media {\r\n width: 720px\r\n}\r\n\r\n.card-hero-3up-tile-1.hero-3up-tile-1>.hero-3up-media {\r\n width: 480px\r\n}\r\n\r\n.hero-3up-image-2,.hero-3up-image-3 {\r\n margin: 20px 20px 7px\r\n}\r\n\r\n.stagfront-hero-3up-pixel-2,.stagfront-hero-3up-pixel-3 {\r\n height: 200px;\r\n width: 113px\r\n}\r\n\r\n.hero-3up-tile>.parent-label {\r\n left: 10px;\r\n top: 10px\r\n}\r\n\r\n.hero-3up-tile:hover>.hero-text>.hero-story>h1>.load-story {\r\n color: #bfbfbf;\r\n color: rgba(255,255,255,.75)\r\n}\r\n\r\n.hero-3up-tile {\r\n background-color: #000;\r\n cursor: pointer;\r\n display: block;\r\n float: left;\r\n height: 202px;\r\n position: relative;\r\n width: 240px\r\n}\r\n\r\n.card-hero-3up-tile {\r\n height: 240px\r\n}\r\n\r\n.hero-3up-tile-1 {\r\n height: 405px;\r\n margin-right: 1px;\r\n width: 719px\r\n}\r\n\r\n.card-hero-3up-tile-1 {\r\n height: 480px;\r\n width: 479px\r\n}\r\n\r\n.hero-3up-tile-3 {\r\n margin-top: 1px\r\n}\r\n\r\n.hero-3up-media>.hero-text {\r\n background: 0;\r\n background-color: rgba(0,0,0,.7);\r\n border-top: 1px solid #000;\r\n bottom: 0;\r\n height: auto;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.hero-3up-media-1>.hero-text {\r\n padding-left: 2px\r\n}\r\n\r\n.lt-ie9 .hero-3up-media>.hero-text {\r\n background: transparent url(../../images/pixels/pixel-black-70percent.png) repeat top left\r\n}\r\n\r\n.hero-3up-media>.hero-text>.hero-story {\r\n background: url(data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiA/Pgo8c3ZnIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIgd2lkdGg9IjEwMCUiIGhlaWdodD0iMTAwJSIgdmlld0JveD0iMCAwIDEgMSIgcHJlc2VydmVBc3BlY3RSYXRpbz0ibm9uZSI+CiAgPGxpbmVhckdyYWRpZW50IGlkPSJncmFkLXVjZ2ctZ2VuZXJhdGVkIiBncmFkaWVudFVuaXRzPSJ1c2VyU3BhY2VPblVzZSIgeDE9IjAlIiB5MT0iMCUiIHgyPSIwJSIgeTI9IjEwMCUiPgogICAgPHN0b3Agb2Zmc2V0PSIwJSIgc3RvcC1jb2xvcj0iI2ZmZmZmZiIgc3RvcC1vcGFjaXR5PSIwLjEiLz4KICAgIDxzdG9wIG9mZnNldD0iMTglIiBzdG9wLWNvbG9yPSIjZmZmZmZmIiBzdG9wLW9wYWNpdHk9IjAiLz4KICAgIDxzdG9wIG9mZnNldD0iMTAwJSIgc3RvcC1jb2xvcj0iI2ZmZmZmZiIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgPC9saW5lYXJHcmFkaWVudD4KICA8cmVjdCB4PSIwIiB5PSIwIiB3aWR0aD0iMSIgaGVpZ2h0PSIxIiBmaWxsPSJ1cmwoI2dyYWQtdWNnZy1nZW5lcmF0ZWQpIiAvPgo8L3N2Zz4=);\r\n background: -moz-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(255,255,255,.1)),color-stop(18%,rgba(255,255,255,0)),color-stop(100%,rgba(255,255,255,0)));\r\n background: -webkit-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -o-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -ms-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n position: static\r\n}\r\n\r\n.hero-3up-media>.hero-text>.hero-story>h1 {\r\n font-size: 14px;\r\n line-height: 16px;\r\n margin: 0;\r\n padding: 10px;\r\n width: auto\r\n}\r\n\r\n.hero-3up-tile-1>.hero-3up-media>.hero-text>.hero-story>h1 {\r\n font-size: 30px;\r\n line-height: 35px;\r\n padding: 14px 20px 10px\r\n}\r\n\r\n.hero-3up-media>.hero-text>.hero-story>.actions {\r\n height: 17px;\r\n margin: 0;\r\n padding: 0 10px 10px\r\n}\r\n\r\n.hero-3up-tile-1>.hero-3up-media>.hero-text>.hero-story>.actions {\r\n padding: 0 20px 20px\r\n}\r\n\r\n.sp-hero-2up.portrait .stage,.sp-hero-2up.portrait .slide {\r\n float: left;\r\n height: 480px;\r\n width: 360px\r\n}\r\n\r\n.sp-hero-2up.portrait .hero-story h1 {\r\n width: 320px\r\n}\r\n\r\n.sp-hero-2up.portrait .videoObject,.hero-2up .videoObject {\r\n background: #000;\r\n height: 480px;\r\n line-height: 480px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli span>.video-headline {\r\n margin-left: -10px;\r\n padding: 0\r\n}\r\n\r\n.hero .contents {\r\n background: -moz-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: -webkit-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: -ms-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n border-bottom: 1px solid #dedede;\r\n display: table;\r\n height: 119px;\r\n margin: 0;\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.hero .contents li {\r\n background-color: transparent;\r\n background-color: rgba(255,255,255,0);\r\n border-right: 1px solid #dedede;\r\n color: #666;\r\n cursor: pointer;\r\n display: table-cell;\r\n float: none;\r\n font-size: 11px;\r\n font-weight: 700;\r\n height: 100%;\r\n line-height: 13px;\r\n list-style: none;\r\n padding: 10px 8px 0;\r\n position: relative;\r\n text-align: center;\r\n -moz-transition-duration: .2s;\r\n -o-transition-duration: .2s;\r\n -webkit-transition-duration: .2s;\r\n transition-duration: .2s;\r\n -moz-transition-property: color background-color;\r\n -o-transition-property: color background-color;\r\n -webkit-transition-property: color background-color;\r\n transition-property: color background-color;\r\n width: 119px\r\n}\r\n\r\n.hero .contents li:hover {\r\n background-color: #fff;\r\n background-color: rgba(255,255,255,.4);\r\n color: #333\r\n}\r\n\r\n.hero .contents li>.container {\r\n position: relative\r\n}\r\n\r\n.hero .contents li>.container span {\r\n background: transparent url(../../images/notch.png) no-repeat center top;\r\n display: block;\r\n height: 8px;\r\n left: 50%;\r\n margin-left: -7px;\r\n opacity: 0;\r\n position: absolute;\r\n top: -8px;\r\n -moz-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -ms-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -o-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -webkit-transition: top 200ms ease-in-out;\r\n transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n visibility: hidden;\r\n width: 15px;\r\n z-index: 56\r\n}\r\n\r\n.hero .contents li.active span {\r\n opacity: 1;\r\n top: -18px;\r\n visibility: visible\r\n}\r\n\r\n.lt-ie9 .hero .contents li.active span {\r\n visibility: visible\r\n}\r\n\r\n.hero .contents li img {\r\n border: 4px solid #f7f7f7;\r\n display: block;\r\n margin: 0 auto 5px;\r\n -moz-transition: border-color .2s;\r\n -ms-transition: border-color .2s;\r\n -o-transition: border-color .2s;\r\n -webkit-transition: border-color .2s;\r\n transition: border-color .2s\r\n}\r\n\r\n.hero .contents li.active img {\r\n border-color: #0af\r\n}\r\n\r\n.sp-hero-2up.portrait .contents {\r\n background: #e1e1e1 url(../../images/patterns/noise.png) repeat top left;\r\n border-bottom: 1px solid #c7c7c7;\r\n border-left: 0;\r\n border-right: 1px solid #c7c7c7;\r\n border-top: 1px solid #c7c7c7;\r\n display: block;\r\n height: 478px;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: 359px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli {\r\n border-bottom: 1px solid #c7c7c7;\r\n border-top: 1px solid #fff;\r\n display: block;\r\n float: left;\r\n height: 78px;\r\n margin: 0;\r\n padding: 0;\r\n text-align: left;\r\n width: 358px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli:first-child {\r\n border-top: 0;\r\n margin-top: 0\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli:hover {\r\n background: #f3f3f3 url(../../images/patterns/noise.png) repeat top left\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli img {\r\n border: 0;\r\n float: left;\r\n margin: 14px 10px 14px 20px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli .container {\r\n display: table;\r\n height: 78px;\r\n margin-right: 20px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli span>span {\r\n display: table-cell;\r\n height: 78px;\r\n padding: 0 0 0 20px;\r\n vertical-align: middle\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli span img+span {\r\n margin-left: 90px;\r\n padding: 0\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli .thumbHeadline {\r\n color: #333;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 0\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli .thumbHeadline {\r\n color: #333\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli:hover .thumbHeadline {\r\n color: #0af\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli .read {\r\n background: transparent url(../../images/buttons/sprite-hero.png) no-repeat 0 -68px;\r\n color: #666;\r\n display: block;\r\n font-size: 11px;\r\n height: 16px;\r\n line-height: 16px;\r\n padding: 1px 0 0 22px\r\n}\r\n\r\n.sp-hero-2up.portrait .contents .portraitli:hover .read {\r\n background-position: 0 -85px;\r\n color: #333\r\n}\r\n\r\n.hero-headline-pack {\r\n height: 420px;\r\n position: relative;\r\n width: 720px\r\n}\r\n\r\n.hero-headline-pack>.hero-page-video>.ui-placer>.video {\r\n width: 720px\r\n}\r\n\r\n.hero-headline-pack-popular {\r\n height: 421px\r\n}\r\n\r\n.hero-headline-pack .media>img {\r\n bottom: -1px\r\n}\r\n\r\n.hero-right-pane {\r\n background: #f8f8f8;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-right: 1px solid #ccc;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n margin-left: 360px;\r\n position: absolute;\r\n top: 0;\r\n width: 360px\r\n}\r\n\r\n.hero-left-pane {\r\n background: #000;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 421px;\r\n left: 0;\r\n overflow: hidden;\r\n padding-right: 20px;\r\n position: absolute;\r\n top: 0;\r\n width: 400px\r\n}\r\n\r\n.hero-headline-pack .hero-text {\r\n bottom: -1px;\r\n height: 100%;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.hero-headline-square-pane {\r\n background: #f8f8f8;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 420px\r\n}\r\n\r\n.hero-headline-list-pane {\r\n background: #f8f8f8;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-right: 1px solid #ccc;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 421px;\r\n left: 400px;\r\n position: absolute;\r\n top: 0;\r\n width: 320px\r\n}\r\n\r\n.hero-page-turn {\r\n z-index: 98\r\n}\r\n\r\n.hero-list {\r\n list-style: none;\r\n padding: 0 20px;\r\n position: relative;\r\n top: 40px;\r\n -moz-transform-style: preserve-3d\r\n}\r\n\r\n.hero-list-item {\r\n border-bottom: 1px solid #e6e6e6;\r\n color: #666;\r\n display: block;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 0;\r\n padding: 0;\r\n text-align: left;\r\n width: 100%\r\n}\r\n\r\n.hero-list-item.last {\r\n border-bottom: 0\r\n}\r\n\r\na.hero-list-anchor {\r\n color: #333;\r\n display: inline-block;\r\n float: left;\r\n font-size: 12px;\r\n height: 16px;\r\n overflow: hidden;\r\n padding: 13px 0 11px;\r\n text-overflow: ellipsis;\r\n white-space: nowrap;\r\n width: 280px\r\n}\r\n\r\n.no-touch .hero-list-item:hover {\r\n background: #009bff;\r\n color: #fff;\r\n margin-left: -10px;\r\n padding: 0 10px;\r\n width: 280px\r\n}\r\n\r\n.hero-list-item .hero-list-info {\r\n display: none\r\n}\r\n\r\n.no-touch .hero-list-item:hover>.hero-list-info {\r\n display: block\r\n}\r\n\r\n.no-touch .hero-list-item:hover>.hero-list-anchor {\r\n color: #fff;\r\n width: 250px\r\n}\r\n\r\n.hero-list-info {\r\n color: #696969;\r\n float: right;\r\n font-size: 10px;\r\n font-weight: 400;\r\n height: 16px;\r\n position: relative;\r\n top: 13px;\r\n width: auto\r\n}\r\n\r\n.hero-list-info.recent {\r\n color: #009bff\r\n}\r\n\r\n.hero-list-item:hover>.hero-list-info {\r\n color: #a5e0ff\r\n}\r\n\r\n.hero-list-info.video,.hero-list-info.gallery {\r\n height: 17px;\r\n overflow: hidden;\r\n position: relative;\r\n text-indent: 105%;\r\n top: 12px;\r\n white-space: nowrap;\r\n width: 17px\r\n}\r\n\r\n.hero-list-info.gallery {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -822px -42px\r\n}\r\n\r\n.hero-list-info.video {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -581px -1px\r\n}\r\n\r\n.hero-head {\r\n color: #999;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 16px;\r\n font-weight: 400;\r\n left: 20px;\r\n letter-spacing: 1px;\r\n margin: 0;\r\n padding: 0;\r\n position: absolute;\r\n text-transform: uppercase;\r\n top: 12px\r\n}\r\n\r\n.hero-turn-trigger {\r\n display: none\r\n}\r\n\r\n.hero-page-trigger:after {\r\n background-position: -379px -58px;\r\n margin-left: 6px\r\n}\r\n\r\n.hero-page-trigger {\r\n color: #333;\r\n cursor: pointer;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n height: 16px;\r\n margin: 0;\r\n position: absolute;\r\n right: 17px;\r\n text-transform: uppercase;\r\n top: 16px;\r\n white-space: nowrap\r\n}\r\n\r\n.hero-popular {\r\n background: #f8f8f8;\r\n border: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n left: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0;\r\n width: 720px\r\n}\r\n\r\n.hero-popular-crease {\r\n background: url(../../images/modules/dropshadows/hero-flip-crease.png) repeat-y 50% top;\r\n height: 100%;\r\n left: 345px;\r\n position: absolute;\r\n width: 30px;\r\n z-index: 9\r\n}\r\n\r\n.hero-popular-head {\r\n background: #f3f3f3 url(../../images/sprites/popular-edge.png) repeat-x left bottom;\r\n height: 60px;\r\n width: 100%\r\n}\r\n\r\n.hero-popular-body {\r\n height: 100%;\r\n padding: 13px 0 10px 25px\r\n}\r\n\r\n.hero-popular-head-text {\r\n color: #999;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 16px;\r\n font-weight: 400;\r\n left: 0;\r\n letter-spacing: 1px;\r\n margin: 0;\r\n padding: 0;\r\n position: absolute;\r\n text-indent: 24px;\r\n text-transform: uppercase;\r\n text-shadow: 1px 1px 1px #fff;\r\n top: 18px;\r\n width: 100%\r\n}\r\n\r\n.hero-popular .hero-page-trigger {\r\n padding-left: 25px;\r\n padding-right: 0;\r\n top: 23px;\r\n z-index: 1\r\n}\r\n\r\n.hero-popular .hero-page-trigger:before {\r\n background-position: -401px -58px;\r\n margin-right: 3px\r\n}\r\n\r\n.hero-popular-large-item {\r\n float: left;\r\n height: 180px;\r\n margin-right: 26px;\r\n position: relative;\r\n width: 320px\r\n}\r\n\r\n.hero-popular-meta-image,.hero-popular-meta-noimage {\r\n bottom: 0;\r\n color: #fff;\r\n left: 0;\r\n padding: 3px 13px;\r\n position: absolute;\r\n width: 294px\r\n}\r\n\r\n.hero-popular-large-shadow {\r\n background: url(data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiA/Pgo8c3ZnIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIgd2lkdGg9IjEwMCUiIGhlaWdodD0iMTAwJSIgdmlld0JveD0iMCAwIDEgMSIgcHJlc2VydmVBc3BlY3RSYXRpbz0ibm9uZSI+CiAgPGxpbmVhckdyYWRpZW50IGlkPSJncmFkLXVjZ2ctZ2VuZXJhdGVkIiBncmFkaWVudFVuaXRzPSJ1c2VyU3BhY2VPblVzZSIgeDE9IjAlIiB5MT0iMCUiIHgyPSIwJSIgeTI9IjEwMCUiPgogICAgPHN0b3Agb2Zmc2V0PSIwJSIgc3RvcC1jb2xvcj0iIzAwMDAwMCIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgICA8c3RvcCBvZmZzZXQ9IjQyJSIgc3RvcC1jb2xvcj0iIzAwMDAwMCIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgICA8c3RvcCBvZmZzZXQ9IjEwMCUiIHN0b3AtY29sb3I9IiMwMDAwMDAiIHN0b3Atb3BhY2l0eT0iMC44Ii8+CiAgPC9saW5lYXJHcmFkaWVudD4KICA8cmVjdCB4PSIwIiB5PSIwIiB3aWR0aD0iMSIgaGVpZ2h0PSIxIiBmaWxsPSJ1cmwoI2dyYWQtdWNnZy1nZW5lcmF0ZWQpIiAvPgo8L3N2Zz4=);\r\n background: -moz-linear-gradient(top,transparent 0,transparent 42%,rgba(0,0,0,.8) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,transparent),color-stop(42%,transparent),color-stop(100%,rgba(0,0,0,.8)));\r\n background: -webkit-linear-gradient(top,transparent 0,transparent 42%,rgba(0,0,0,.8) 100%);\r\n background: -o-linear-gradient(top,transparent 0,transparent 42%,rgba(0,0,0,.8) 100%);\r\n background: -ms-linear-gradient(top,transparent 0,transparent 42%,rgba(0,0,0,.8) 100%);\r\n background: linear-gradient(to bottom,transparent 0,transparent 42%,rgba(0,0,0,.8) 100%);\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n width: 100%\r\n}\r\n\r\n.hero-popular-list-header {\r\n font-size: 20px;\r\n line-height: 22px;\r\n margin: 0 0 8px\r\n}\r\n\r\n.lt-ie9 .hero-popular-meta-image {\r\n background: transparent url(../../images/ie-gradients/transparent-to-black-67percent.png) repeat-x bottom left\r\n}\r\n\r\n.hero-popular-small-item {\r\n float: left;\r\n height: 60px;\r\n overflow: hidden;\r\n padding: 18px 26px 0 1px;\r\n position: relative;\r\n width: 318px\r\n}\r\n\r\n.hero-popular-small-thumb {\r\n float: left;\r\n height: 60px;\r\n margin-right: 20px;\r\n width: 60px\r\n}\r\n\r\n.hero-popular-small-header {\r\n color: #333;\r\n font-size: 14px;\r\n line-height: 18px;\r\n margin: 0;\r\n padding: 0 0 4px;\r\n position: relative;\r\n top: -2px;\r\n width: 100%\r\n}\r\n\r\n.hero-popular-small-item>a:hover>.hero-popular-small-header {\r\n color: #007dcf\r\n}\r\n\r\na.hero-popular-small-anchor {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400;\r\n height: 100%;\r\n position: absolute;\r\n width: 320px;\r\n z-index: 0\r\n}\r\n\r\na.hero-popular-anchor {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400\r\n}\r\n\r\n.hero-popular-viewcount {\r\n padding-left: 5px\r\n}\r\n\r\n.hero-popular-viewcount:before {\r\n background-position: -940px -34px;\r\n width: 18px\r\n}\r\n\r\n.hero-popular-large-item .hero-popular-viewcount:before {\r\n background-position: -940px -16px\r\n}\r\n\r\n.hero-popular-item-subtext {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400;\r\n margin-top: 1px;\r\n position: relative\r\n}\r\n\r\n.hero-popular-large-item .hero-popular-item-subtext {\r\n color: #fff\r\n}\r\n\r\n@media only screen and (max-width: 1149px) {\r\n a.hero-list-anchor {\r\n padding:11px 0 10px\r\n }\r\n \r\n .hero-page-trigger {\r\n bottom: 10px;\r\n top: auto\r\n }\r\n \r\n .hero-popular-right-item {\r\n padding-bottom: 11px\r\n }\r\n \r\n .hero-popular .hero-page-trigger {\r\n top: auto\r\n }\r\n}\r\n\r\n.hero-multi-up-thumbs {\r\n background: #f7f7f7;\r\n background: -moz-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: -webkit-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: -ms-linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n background: linear-gradient(top,#f7f7f7 96%,#ebebeb 100%);\r\n box-shadow: inset 0 -1px 0 #dedede;\r\n margin: 0;\r\n padding: 0;\r\n position: relative;\r\n width: 720px\r\n}\r\n\r\n.hero-multi-up-thumb-item {\r\n background-color: rgba(255,255,255,0);\r\n border-right: 1px solid #dedede;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #666;\r\n cursor: pointer;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n height: 100%;\r\n line-height: 13px;\r\n list-style: none;\r\n padding: 10px 8px 0;\r\n position: relative;\r\n text-align: center;\r\n -moz-transition-duration: .2s;\r\n -o-transition-duration: .2s;\r\n -webkit-transition-duration: 0;\r\n transition-duration: .2s;\r\n -moz-transition-property: color background-color;\r\n -o-transition-property: color background-color;\r\n -webkit-transition-property: color background-color;\r\n transition-property: color background-color\r\n}\r\n\r\n.hero-multi-up-thumb-photo {\r\n border: 4px solid #f7f7f7;\r\n display: block;\r\n margin: 0 auto 5px;\r\n overflow: hidden;\r\n -moz-transition: border-color .2s;\r\n -ms-transition: border-color .2s;\r\n -o-transition: border-color .2s;\r\n -webkit-transition: border-color 0s;\r\n transition: border-color .2s\r\n}\r\n\r\n.hero-multi-up-thumb-item.active>.hero-multi-up-thumb-notch {\r\n opacity: 1;\r\n top: -8px;\r\n visibility: visible\r\n}\r\n\r\n.hero-multi-up-slide.active>.media.loading {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hero-multi-up-thumb-text {\r\n height: 40px;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.hero-multi-up-thumb-item.active>.hero-multi-up-thumb-photo {\r\n border-color: #0af\r\n}\r\n\r\n.hero-multi-up-thumb-item.active>.hero-multi-up-thumb-notch {\r\n opacity: 1;\r\n top: -8px;\r\n visibility: visible\r\n}\r\n\r\n.hero-multi-up-thumb-notch {\r\n background: transparent url(../../images/notch.png) no-repeat center top;\r\n display: block;\r\n height: 8px;\r\n left: 50%;\r\n margin-left: -7px;\r\n opacity: 0;\r\n position: absolute;\r\n top: 0;\r\n -moz-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -ms-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -o-transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n -webkit-transition: top 200ms ease-in-out;\r\n transition: top 200ms ease-in-out,opacity 200ms ease-in-out;\r\n visibility: hidden;\r\n width: 15px;\r\n z-index: 56\r\n}\r\n\r\n.hero-multi-up-thumb-item:hover {\r\n background-color: rgba(255,255,255,.4);\r\n color: #333\r\n}\r\n\r\n.hero-multi-up-ribbon {\r\n background: #000;\r\n background: rgba(0,0,0,.65);\r\n color: #fff;\r\n cursor: pointer;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 400;\r\n left: 584px;\r\n line-height: 11px;\r\n padding: 10px 6px 0 8px;\r\n position: absolute;\r\n text-transform: uppercase;\r\n top: 20px;\r\n width: 122px;\r\n z-index: 60\r\n}\r\n\r\n.hero-multi-up-ribbon:after {\r\n background-position: -378px -1px;\r\n margin-left: 5px\r\n}\r\n\r\n.hero-multi-up-6 {\r\n height: 524px\r\n}\r\n\r\n.hero-multi-up-4 {\r\n height: 595px\r\n}\r\n\r\n.hero-multi-up-popular {\r\n height: 525px\r\n}\r\n\r\n.hero-up-thumbs-4 {\r\n height: 190px\r\n}\r\n\r\n.hero-up-thumbs-item-4 {\r\n width: 25%\r\n}\r\n\r\n.hero-up-thumbs-item-4 .hero-multi-up-thumb-photo {\r\n height: 90px;\r\n width: 130px\r\n}\r\n\r\n.hero-up-thumbs-6 {\r\n height: 120px\r\n}\r\n\r\n.hero-up-thumbs-item-6 {\r\n width: 120px\r\n}\r\n\r\n.hero-up-thumbs-item-6 .hero-multi-up-thumb-photo {\r\n height: 50px;\r\n width: 80px\r\n}\r\n\r\n@media only screen and (max-width: 1239px) {\r\n .hero-multi-up-ribbon {\r\n top:43px\r\n }\r\n}\r\n\r\n.hero.carousel .slide {\r\n display: block;\r\n opacity: 0;\r\n position: absolute;\r\n visibility: hidden;\r\n width: 100%\r\n}\r\n\r\n.hero.carousel .slide.active {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.hero .contents .portraitli>a {\r\n display: inline-block;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.portraitli span>.play-btn-s {\r\n bottom: -45px;\r\n margin: 0;\r\n padding: 0;\r\n position: relative;\r\n right: 24px\r\n}\r\n\r\n.hero.basic.election_night,.hero.basic.election_night .stage {\r\n background: #f8f8f8;\r\n height: auto\r\n}\r\n\r\n.hero.election_night h2.headline {\r\n font-size: 32px;\r\n margin: 0;\r\n padding: 10px 20px 0\r\n}\r\n\r\n.hero.basic.election_night .stage {\r\n background: transparent url(../../images/patterns/paper-noise-bar.png) repeat top left;\r\n border-bottom: 1px solid #e1e1e1\r\n}\r\n\r\n.hero.basic.election_night .top_headline h2.headline a {\r\n color: #333;\r\n margin: 0\r\n}\r\n\r\n.hero-headline-pack.election_night {\r\n height: 480px\r\n}\r\n\r\n.hero.basic.election_night .stage.election-night-large-story .hero-right-pane {\r\n background: transparent url(../../images/patterns/paper-noise-bar.png) repeat top left;\r\n height: 600px;\r\n margin-left: 470px;\r\n width: 250px\r\n}\r\n\r\n.hero.basic.election_night .stage.election-night-large-story .hero-left-pane {\r\n height: 600px;\r\n width: 470px\r\n}\r\n\r\n.hero.basic.election_night .stage.election-night-large-story .slide {\r\n height: 600px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front .hero-right-pane {\r\n background: #f8f8f8;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-right: 1px solid #ccc;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n left: 420px;\r\n margin: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 300px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front .hero-left-pane {\r\n background: #000;\r\n border-bottom: 1px solid #dbdbdb;\r\n border-top: 1px solid #ccc;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 420px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front .hero-list-item {\r\n border-bottom: 1px solid #e6e6e6;\r\n color: #666;\r\n display: block;\r\n float: left;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 16px;\r\n margin: 0;\r\n padding: 0;\r\n text-align: left;\r\n width: 272px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front a.hero-list-anchor {\r\n color: #333;\r\n display: inline-block;\r\n float: left;\r\n font-size: 12px;\r\n height: 16px;\r\n overflow: hidden;\r\n padding: 13px 0 11px;\r\n text-overflow: ellipsis;\r\n white-space: nowrap;\r\n width: 252px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front .hero-list-anchor:hover {\r\n background: #009bff;\r\n color: #fff;\r\n margin: -1px -10px;\r\n padding: 14px 22px 12px 10px;\r\n width: 252px\r\n}\r\n\r\n.hero-headline-pack.election_night .hero-page-front .hero-list-anchor>.info {\r\n color: #696969;\r\n font-size: 10px;\r\n font-weight: 400;\r\n height: 16px;\r\n margin-top: 1px;\r\n position: absolute;\r\n right: 10px;\r\n text-align: center;\r\n width: 16px\r\n}\r\n\r\n.promo-frame {\r\n background: #f8f8f8;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.front-bump-shadow {\r\n background: url(../../images/sprites/shadow-border.png) repeat-x left -10px;\r\n display: block;\r\n margin: -20px 0 0 0;\r\n overflow: visible;\r\n position: relative\r\n}\r\n\r\n.front-bump-shadow:before {\r\n background: url(../../images/sprites/shadow-border.png) -21px -26px;\r\n content: '';\r\n height: 366px;\r\n left: -18px;\r\n position: absolute;\r\n top: 0;\r\n width: 18px;\r\n z-index: 2\r\n}\r\n\r\n.front-bump-shadow:after {\r\n background: url(../../images/sprites/shadow-border.png) -40px -26px;\r\n content: '';\r\n height: 366px;\r\n position: absolute;\r\n right: -18px;\r\n top: 0;\r\n width: 18px;\r\n z-index: 2\r\n}\r\n\r\n.below-hero-ad-module {\r\n background: #dedede url(../../images/patterns/noise-featured.png) repeat top left;\r\n display: none\r\n}\r\n\r\n.below-hero-content-ul {\r\n list-style-type: none;\r\n margin: 0 0 5px;\r\n padding: 0;\r\n position: relative;\r\n width: 400px\r\n}\r\n\r\n.below-hero-content-li {\r\n display: inline-table;\r\n text-align: center;\r\n width: 197px\r\n}\r\n\r\n.below-hero-popular {\r\n float: left;\r\n width: 395px\r\n}\r\n\r\n.below-hero-ad.partner-placement {\r\n border: 5px solid #fff;\r\n box-shadow: 0 4px 8px 0 #bebebe;\r\n display: block;\r\n float: right;\r\n margin: 10px 10px 10px 0\r\n}\r\n\r\n.below-hero-header-title {\r\n color: #333;\r\n float: left;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 15px;\r\n margin: 0 20px 20px;\r\n padding: 16px 0 0;\r\n padding-bottom: 5px;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 #fff;\r\n width: 200px\r\n}\r\n\r\na.below-hero-content-a {\r\n border-right: 0;\r\n color: #000;\r\n display: block;\r\n font-size: 10px;\r\n min-height: 200px;\r\n padding: 0 25px;\r\n position: relative\r\n}\r\n\r\na.below-hero-content-a .play-btn-m {\r\n left: 128px;\r\n position: absolute;\r\n top: 97px\r\n}\r\n\r\n.below-hero-h3 {\r\n font-size: 12px;\r\n line-height: 18px;\r\n margin-bottom: 4px;\r\n margin-top: 7px;\r\n overflow: hidden;\r\n text-overflow: ellipsis\r\n}\r\n\r\n.below-hero-content-ul a.below-content-0 {\r\n border-right: 1px solid #c3c3c3\r\n}\r\n\r\n.below-hero-ad-container {\r\n float: right\r\n}\r\n\r\n.stagfront-1-up-hero,.hero.stagfront-1-up-hero .slide,.hero.stagfront-1-up-hero .stage {\r\n height: 540px;\r\n width: 960px\r\n}\r\n\r\n.stagfront-1-up-with-ad {\r\n height: 332px;\r\n width: 960px\r\n}\r\n\r\n.hero.stagfront-1-up-with-ad .stage {\r\n border: 0;\r\n display: inline-block;\r\n height: 332px;\r\n width: 590px\r\n}\r\n\r\n.hero.stagfront-1-up-with-ad .slide {\r\n height: 332px;\r\n width: 590px\r\n}\r\n\r\n.topic-hero-ad {\r\n background: #2e2e2e;\r\n border: 0;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n float: right;\r\n height: 332px;\r\n padding: 40px 35px;\r\n width: 370px\r\n}\r\n\r\n.partner-heroflip-ad {\r\n overflow: hidden;\r\n width: 720px\r\n}\r\n\r\n.partner-heroflip-ad.size-xxs {\r\n height: 400px\r\n}\r\n\r\n.partner-heroflip-ad.size-xs {\r\n height: 405px\r\n}\r\n\r\n.partner-heroflip-ad.size-s {\r\n height: 420px\r\n}\r\n\r\n.partner-heroflip-ad.size-m {\r\n height: 480px\r\n}\r\n\r\n.partner-heroflip-ad.size-l {\r\n height: 524px\r\n}\r\n\r\n.hero-full-width-module {\r\n background: #fff\r\n}\r\n\r\n.hfwmm-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hfwmm-link {\r\n color: #fff;\r\n display: block;\r\n position: relative;\r\n width: 100%;\r\n height: 100%;\r\n z-index: 1\r\n}\r\n\r\n.hfwmm-image-link,.hfwmm-image {\r\n display: block\r\n}\r\n\r\n.hfwmm-image-link {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hfwmm-image-link:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hfwmm-primary-wrap:hover .hfwmm-image-link:before,.hfwmm-secondary-item:hover .hfwmm-image-link:before {\r\n background-color: transparent\r\n}\r\n\r\n.hfwmm-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 60%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hfwmm-primary-wrap {\r\n height: 400px;\r\n position: relative;\r\n float: left\r\n}\r\n\r\n.hfwmm-4uphp-primary-wrap {\r\n width: 720px\r\n}\r\n\r\n.hfwmm-primary-image-link:after {\r\n height: 50%\r\n}\r\n\r\n.hfwmm-primary-hed-link {\r\n display: block\r\n}\r\n\r\n.hfwmm-primary-link:hover,.hfwmm-primary-link:visited {\r\n color: #fff\r\n}\r\n\r\n.hfwmm-primary-text-wrap {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n position: absolute;\r\n bottom: 0;\r\n left: 0;\r\n padding: 0 20px 18px;\r\n width: 100%\r\n}\r\n\r\n.hfwmm-primary-hed {\r\n font: 40px 'Futura Today DemiBold',Arial,sans-serif;\r\n line-height: 44px;\r\n display: block;\r\n margin: 0 0 5px;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n letter-spacing: -1px;\r\n position: relative;\r\n z-index: 2;\r\n color: #fff\r\n}\r\n\r\n.hfwmm-video-hed-link,.hfwmm-gallery-hed-link {\r\n text-indent: -48px;\r\n margin-left: 48px\r\n}\r\n\r\n.hfwmm-primary-video-hed:before,.hfwmm-primary-gallery-hed:before {\r\n font-family: 'Gannett Icons';\r\n vertical-align: top;\r\n margin-right: 8px;\r\n font-size: 34px;\r\n position: relative;\r\n top: 1px\r\n}\r\n\r\n.hfwmm-primary-video-hed:before {\r\n content: 'v'\r\n}\r\n\r\n.hfwmm-primary-gallery-hed:before {\r\n content: 'g'\r\n}\r\n\r\n.hfwmm-spikes {\r\n display: inline-block;\r\n padding: 8px 0 0;\r\n z-index: 5;\r\n position: relative;\r\n line-height: 30px;\r\n bottom: -8px\r\n}\r\n\r\n.hfwmm-spike-link,.hfwmm-spike-link:visited {\r\n color: #fff;\r\n font-size: 14px;\r\n padding: 0 15px 0 0;\r\n border-right: 1px solid rgba(255,255,255,.3);\r\n margin-right: 15px;\r\n font-weight: 700;\r\n transition: color .15s;\r\n display: inline-block;\r\n vertical-align: top;\r\n height: 16px;\r\n line-height: 16px\r\n}\r\n\r\n.hfwmm-spike-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.hfwmm-last-spike-link {\r\n border: 0\r\n}\r\n\r\n.hfwmm-spike-link:before {\r\n content: 'a';\r\n font-family: 'Gannett Icons';\r\n font-size: 18px;\r\n margin-right: 5px;\r\n vertical-align: top\r\n}\r\n\r\n.hfwmm-video-spike-link:before,.hfwmm-livevideo-spike-link:before {\r\n content: 'v'\r\n}\r\n\r\n.hfwmm-gallery-spike-link:before {\r\n content: 'g';\r\n margin-right: 7px\r\n}\r\n\r\n.hfwmm-noicon-spike-link:before {\r\n display: none\r\n}\r\n\r\n.hfwmm-list {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n list-style: none;\r\n display: block;\r\n position: relative;\r\n float: right\r\n}\r\n\r\n.hfwmm-light-list {\r\n border-right: 1px solid #ccc\r\n}\r\n\r\n.hfwmm-tertiary-list-title {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n font: 15px 'Futura Today Bold',Arial,sans-serif;\r\n height: 40px;\r\n line-height: 40px;\r\n padding: 0 0 0 15px;\r\n position: absolute;\r\n right: 0;\r\n text-align: left;\r\n text-transform: uppercase;\r\n top: 0;\r\n width: 360px\r\n}\r\n\r\n.hfwmm-dark-tertiary-list-title {\r\n background-color: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f;\r\n color: #fff\r\n}\r\n\r\n.hfwmm-light-tertiary-list-title {\r\n background-color: #f9f9f9;\r\n border-top: 1px solid #ccc;\r\n border-bottom: 1px solid #dcdcdc;\r\n color: #282828\r\n}\r\n\r\n.hfwmm-item {\r\n display: block;\r\n position: relative;\r\n margin: 0;\r\n padding: 0;\r\n cursor: pointer\r\n}\r\n\r\n.hfwmm-first-tertiary-item {\r\n margin-top: 40px\r\n}\r\n\r\n.hfwmm-list-link {\r\n padding: 8px 16px;\r\n display: block;\r\n font-weight: 700;\r\n transition: color,background-color 75ms,75ms;\r\n -webkit-transition: color,background-color 75ms,75ms;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box\r\n}\r\n\r\n.hfwmm-dark-list-link {\r\n color: #fff;\r\n background-color: #2b2b2b;\r\n border-top: 1px solid #3c3c3c;\r\n border-bottom: 1px solid #0f0f0f\r\n}\r\n\r\n.hfwmm-dark-list-link:visited {\r\n color: #fff\r\n}\r\n\r\n.hfwmm-dark-list-link:hover {\r\n color: #fff;\r\n background-color: #272727;\r\n border-top-color: transparent;\r\n border-bottom-color: transparent\r\n}\r\n\r\n.hfwmm-light-list-link {\r\n color: #282828;\r\n background-color: #f9f9f9;\r\n border-top: 1px solid #fff;\r\n border-bottom: 1px solid #dcdcdc\r\n}\r\n\r\n.hfwmm-light-list-link:visited {\r\n color: #282828\r\n}\r\n\r\n.hfwmm-light-list-link:hover {\r\n color: #282828;\r\n background-color: #fff;\r\n border-top-color: transparent\r\n}\r\n\r\n.hfwmm-list-hed {\r\n display: block;\r\n font-size: 13px;\r\n line-height: 14px;\r\n padding: 4px 0;\r\n text-overflow: ellipsis;\r\n height: 14px;\r\n overflow: hidden;\r\n white-space: nowrap\r\n}\r\n\r\n@media only screen and (max-width: 1320px) {\r\n .hfwmm-1uphp-primary-wrap {\r\n overflow:hidden;\r\n width: 720px\r\n }\r\n \r\n .hfwmm-1uphp-primary-image {\r\n margin-left: -120px\r\n }\r\n \r\n .hfwmm-list {\r\n width: 360px\r\n }\r\n \r\n .hfwmm-first-secondary-item {\r\n margin-top: 40px\r\n }\r\n \r\n .hfwmm-first-tertiary-item.hfwmm-4uphp-tertiary-item {\r\n margin-top: 0\r\n }\r\n \r\n .hfwmm-secondary-image,.hfwmm-ssts-label-secondary,.hfwmm-truncated-item,.hfwmm-list-link.hfwmm-image-link:before,.hfwmm-secondary-image-gradient {\r\n display: none\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1321px) {\r\n .hfwmm-1uphp-primary-wrap {\r\n width:960px\r\n }\r\n \r\n .hfwmm-4uphp-list {\r\n width: 600px\r\n }\r\n \r\n .hfwmm-1uphp-list {\r\n width: 360px\r\n }\r\n \r\n .hfwmm-secondary-item {\r\n clear: both;\r\n float: left;\r\n width: 239px;\r\n height: 133px;\r\n overflow: hidden;\r\n margin: 0 0 1px 1px\r\n }\r\n \r\n .hfwmm-secondary-link {\r\n padding: 0;\r\n background: 0;\r\n border: 0\r\n }\r\n \r\n .hfwmm-secondary-video-hed-icon,.hfwmm-secondary-gallery-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n vertical-align: middle;\r\n padding-right: 8px;\r\n font-size: 29px;\r\n position: relative;\r\n top: 0\r\n }\r\n \r\n .hfwmm-secondary-gallery-hed-icon {\r\n font-size: 27px;\r\n padding-right: 9px;\r\n top: 0\r\n }\r\n \r\n .hfwmm-secondary-video-hed-icon:before {\r\n content: 'v'\r\n }\r\n \r\n .hfwmm-secondary-gallery-hed-icon:before {\r\n content: 'g'\r\n }\r\n \r\n .hfwmm-secondary-hed-wrap {\r\n bottom: 0;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n color: #fff;\r\n display: block;\r\n left: 0;\r\n padding: 10px 10px 7px;\r\n position: absolute;\r\n text-shadow: 0 1px 1px #000;\r\n width: 100%;\r\n z-index: 5;\r\n height: auto\r\n }\r\n \r\n .hfwmm-secondary-hed {\r\n display: table-cell;\r\n font-size: 14px;\r\n line-height: 18px;\r\n white-space: normal;\r\n vertical-align: middle\r\n }\r\n \r\n .hfwmm-secondary-item.hfwmm-item-4 {\r\n height: 132px;\r\n margin-bottom: 0\r\n }\r\n \r\n .hfwmm-4uphp-tertiary-item {\r\n margin-left: 240px\r\n }\r\n \r\n .hfwmm-truncated-item.hfwmm-1uphp-truncated-item {\r\n display: none\r\n }\r\n}\r\n\r\n.hfwsm-module-wrap {\r\n position: relative;\r\n float: left;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hfwsm-primary-image-link,.hfwsm-primary-image {\r\n display: block;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-primary-image-link {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-primary-image-link:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hfwsm-module-wrap:hover .hfwsm-primary-image-link:before {\r\n background-color: transparent\r\n}\r\n\r\n.hfwsm-primary-image-link:after {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 70%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hfwsm-text-timeline-wrap {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n position: absolute;\r\n bottom: 0;\r\n left: 0;\r\n padding: 0\r\n}\r\n\r\n.hfwsm-primary-hed-link {\r\n display: block;\r\n position: relative;\r\n z-index: 5\r\n}\r\n\r\n.hfwsm-primary-hed {\r\n font: 96px 'Futura Today DemiBold',Arial,sans-serif;\r\n line-height: 93px;\r\n display: block;\r\n margin: 0 0 20px;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n letter-spacing: -2px;\r\n color: #fff;\r\n padding: 0 20px\r\n}\r\n\r\n.hfwsm-spikes {\r\n display: inline-block;\r\n padding: 5px 20px 10px 22px;\r\n z-index: 5;\r\n position: relative;\r\n line-height: 30px\r\n}\r\n\r\n.hfwsm-spike-link,.hfwsm-spike-link:visited {\r\n color: #fff;\r\n font-size: 14px;\r\n padding: 0 15px 0 0;\r\n border-right: 1px solid rgba(255,255,255,.3);\r\n margin-right: 15px;\r\n font-weight: 700;\r\n transition: color .15s;\r\n display: inline-block;\r\n vertical-align: top;\r\n height: 16px;\r\n line-height: 16px\r\n}\r\n\r\n.hfwsm-spike-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.hfwsm-last-spike-link {\r\n border: 0\r\n}\r\n\r\n.hfwsm-spike-link:before {\r\n content: 'a';\r\n font-family: 'Gannett Icons';\r\n font-size: 16px;\r\n position: relative;\r\n margin-right: 5px;\r\n vertical-align: top\r\n}\r\n\r\n.hfwsm-video-spike-link:before,.hfwsm-livevideo-spike-link:before {\r\n content: 'v'\r\n}\r\n\r\n.hfwsm-gallery-spike-link:before {\r\n content: 'g';\r\n margin-right: 7px\r\n}\r\n\r\n.hfwsm-noicon-spike-link:before {\r\n display: none\r\n}\r\n\r\n.hfwsm-timeline-wrap {\r\n background: rgba(0,0,0,.6);\r\n position: relative;\r\n width: 100%;\r\n z-index: 5\r\n}\r\n\r\n.hfwsm-timeline-list {\r\n display: table;\r\n table-layout: fixed;\r\n padding: 0 5px 0 20px;\r\n width: 100%;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n border-top: 1px solid rgba(255,255,255,.15)\r\n}\r\n\r\n.hfwsm-timeline-item {\r\n white-space: normal\r\n}\r\n\r\n.hfwsm-timeline-fixed-item {\r\n display: inline-block;\r\n vertical-align: top;\r\n width: 33%\r\n}\r\n\r\n.hfwsm-timeline-stretch-item {\r\n display: table-cell;\r\n vertical-align: top\r\n}\r\n\r\n.hfwsm-timeline-link,.hfwsm-timeline-link:visited {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n color: #fff;\r\n display: inline-block;\r\n padding: 13px 10px 9px 0;\r\n position: relative;\r\n transition: color .15s;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-timeline-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.hfwsm-timeline-hed-wrap {\r\n width: 90%;\r\n display: table\r\n}\r\n\r\n.hfwsm-timeline-gallery-hed-icon,.hfwsm-timeline-video-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 27px;\r\n vertical-align: top;\r\n padding: 0 10px 0 0;\r\n line-height: 32px\r\n}\r\n\r\n.hfwsm-timeline-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n.hfwsm-timeline-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.hfwsm-timeline-hed {\r\n font-size: 15px;\r\n display: table-cell;\r\n width: 100%;\r\n vertical-align: top\r\n}\r\n\r\n.hfwsm-timeline-timestamp-wrap {\r\n color: #999;\r\n display: table;\r\n font-size: 11px;\r\n margin: 0 0 5px;\r\n position: relative\r\n}\r\n\r\n.hfwsm-timeline-timestamp {\r\n display: table-cell;\r\n white-space: nowrap;\r\n padding: 0 10px 0 0;\r\n position: relative\r\n}\r\n\r\n.hfwsm-timeline-timestamp-border {\r\n display: table-cell;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-timeline-timestamp-border:before {\r\n background: #666;\r\n border-radius: 7px;\r\n content: '';\r\n display: block;\r\n height: 7px;\r\n position: absolute;\r\n right: 0;\r\n top: 4px;\r\n width: 7px\r\n}\r\n\r\n.hfwsm-timeline-timestamp-border:after {\r\n border-top: 1px solid #666;\r\n content: '';\r\n display: inline-block;\r\n height: 0;\r\n position: relative;\r\n top: 7px;\r\n vertical-align: top;\r\n width: 100%\r\n}\r\n\r\n.hfwsm-timeline-last-timestamp-border.hfwsm-timeline-timestamp-border {\r\n display: none\r\n}\r\n\r\n@media only screen and (max-width: 1320px) {\r\n .hfwsm-primary-flex-sidebar-page-primary-hed {\r\n font-size:66px;\r\n line-height: 68px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1321px) {\r\n .hfwsm-primary-flex-sidebar-page-primary-hed {\r\n font-size:88px;\r\n line-height: 90px\r\n }\r\n}\r\n\r\n@media only screen and (max-width: 1250px) {\r\n .hfwsm-primary-suspender-sidebar-page-primary-hed {\r\n font-size:56px;\r\n line-height: 61px\r\n }\r\n \r\n .hfwsm-primary-suspender-sidebar-page-timeline-hed-icon {\r\n display: none\r\n }\r\n \r\n .hfwsm-primary-suspender-sidebar-page-timeline-hed {\r\n font-size: 13px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1251px) {\r\n .hfwsm-primary-suspender-sidebar-page-primary-hed {\r\n font-size:78px;\r\n line-height: 84px\r\n }\r\n}\r\n\r\n.weather-nav-dropdown {\r\n color: #fff;\r\n font-family: Arial,sans-serif;\r\n cursor: default;\r\n overflow: hidden;\r\n position: absolute;\r\n background: #333;\r\n box-shadow: 0 3px 6px rgba(0,0,0,.7);\r\n line-height: normal;\r\n width: 240px;\r\n display: none;\r\n right: 0;\r\n top: 40px;\r\n outline: 0\r\n}\r\n\r\n.weather-nav-dropdown.dropdown-active {\r\n display: block\r\n}\r\n\r\n.weather-nav-dropdown-panel-wrap {\r\n width: 480px;\r\n height: 174px;\r\n position: relative;\r\n left: 0;\r\n top: 0;\r\n transition: left 200ms ease;\r\n -moz-transition: left 200ms ease;\r\n -webkit-transition: left 200ms ease;\r\n -o-transition: left 200ms ease\r\n}\r\n\r\n.weather-nav-dropdown.settings-active .weather-nav-dropdown-panel-wrap {\r\n left: -240px;\r\n height: auto;\r\n float: left\r\n}\r\n\r\n.weather-nav-conditions-panel {\r\n overflow: hidden;\r\n float: left;\r\n width: 204px;\r\n height: 134px;\r\n padding: 20px 18px;\r\n position: relative\r\n}\r\n\r\n.weather-nav-location-name {\r\n font-size: 16px;\r\n font-weight: 700;\r\n text-shadow: none;\r\n width: 176px;\r\n margin-bottom: 14px\r\n}\r\n\r\n.weather-nav-location-name.longname {\r\n font-size: 14px\r\n}\r\n\r\n.weather-nav-dropdown-time,.weather-nav-dropdown-wicon,.weather-nav-dropdown-temp {\r\n float: left\r\n}\r\n\r\n.weather-nav-dropdown-time {\r\n font-size: 12px;\r\n font-style: italic;\r\n height: 38px;\r\n width: 43px;\r\n text-align: left;\r\n position: relative;\r\n top: 2px;\r\n padding-top: 11px\r\n}\r\n\r\n.weather-nav-dropdown-temp {\r\n font-size: 48px;\r\n font-family: 'Futura Today Bold',Helvetica,sans-serif;\r\n width: 85px;\r\n line-height: 46px;\r\n float: right;\r\n text-align: right;\r\n height: 50px\r\n}\r\n\r\n.weather-nav-dropdown-temp.three-digit {\r\n font-size: 36px;\r\n line-height: 55px\r\n}\r\n\r\n.weather-nav-conditions-wrap {\r\n list-style: none;\r\n display: block;\r\n margin-top: 16px;\r\n float: left\r\n}\r\n\r\n.weather-nav-condition-humidity,.weather-nav-condition-precip,.weather-nav-condition-wind {\r\n text-align: center;\r\n text-shadow: none;\r\n width: 66px;\r\n font-size: 16px;\r\n font-weight: 700;\r\n float: left;\r\n display: block\r\n}\r\n\r\n.weather-nav-condition-wind {\r\n float: right\r\n}\r\n\r\n.weather-nav-condition-title,.weather-nav-condition-value {\r\n display: block\r\n}\r\n\r\n.weather-nav-condition-humidity .weather-nav-condition-title,.weather-nav-condition-humidity .weather-nav-condition-value {\r\n text-align: left\r\n}\r\n\r\n.weather-nav-condition-wind .weather-nav-condition-title,.weather-nav-condition-wind .weather-nav-condition-value {\r\n text-align: right\r\n}\r\n\r\n.weather-nav-condition-title {\r\n display: block;\r\n font-size: 12px;\r\n font-style: italic;\r\n font-weight: 400;\r\n margin-bottom: 4px\r\n}\r\n\r\n.weather-nav-dropdown-wicon {\r\n background-image: url(../../images/weather/wicon-sprite-big.png);\r\n background-repeat: no-repeat;\r\n text-indent: 100%;\r\n white-space: nowrap;\r\n overflow: hidden;\r\n width: 72px;\r\n height: 50px\r\n}\r\n\r\n.weather-nav-settings-panel {\r\n float: left;\r\n width: 200px;\r\n padding: 20px;\r\n position: relative;\r\n min-height: 134px\r\n}\r\n\r\n.weather-nav-settings-header {\r\n font-size: 16px;\r\n font-weight: 700;\r\n margin-bottom: 15px;\r\n text-shadow: none\r\n}\r\n\r\n.weather-nav-dropdown-settings-open-btn {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -260px 0;\r\n cursor: pointer;\r\n height: 20px;\r\n opacity: .8;\r\n position: absolute;\r\n right: 17px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: 18px;\r\n width: 20px\r\n}\r\n\r\n.weather-nav-dropdown-settings-open-btn:hover {\r\n opacity: 1;\r\n background-position: -260px -20px\r\n}\r\n\r\n.weather-nav-dropdown-settings-close-btn {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -20px 0;\r\n cursor: pointer;\r\n height: 20px;\r\n opacity: .8;\r\n position: absolute;\r\n right: 20px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: 20px;\r\n width: 21px\r\n}\r\n\r\n.weather-nav-dropdown-settings-close-btn:hover {\r\n opacity: 1;\r\n background-position: -20px -20px\r\n}\r\n\r\n.weather-nav-location-input-wrap:before {\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n width: 16px;\r\n height: 0;\r\n overflow: hidden;\r\n padding-top: 16px;\r\n left: 8px;\r\n top: 7px;\r\n background: transparent url(../../images/modules/forms/forms-sprite2.png) -69px -7px no-repeat;\r\n z-index: 9\r\n}\r\n\r\n.weather-nav-location-input-label {\r\n color: #b3b3b3;\r\n font-size: 13px;\r\n font-weight: 700;\r\n margin: 0 0 8px;\r\n text-shadow: none;\r\n display: block;\r\n cursor: default\r\n}\r\n\r\n.weather-nav-location-input {\r\n padding-left: 26px;\r\n margin-bottom: 10px;\r\n outline: 0;\r\n font-weight: 700;\r\n width: 100%\r\n}\r\n\r\n.lt-ie9 .weather-nav-location-input {\r\n padding-left: 9px;\r\n padding-top: 6px\r\n}\r\n\r\n.weather-nav-location-set-btn,.weather-nav-location-cancel-btn {\r\n padding-left: 26px\r\n}\r\n\r\n.weather-nav-location-set-btn {\r\n display: inline-block;\r\n float: right\r\n}\r\n\r\n.weather-nav-location-cancel-btn {\r\n display: inline-block\r\n}\r\n\r\n.weather-nav-location-set-btn:before,.weather-nav-location-cancel-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: block;\r\n position: absolute;\r\n top: 10px;\r\n left: 10px;\r\n width: 12px;\r\n height: 14px;\r\n margin-right: 5px;\r\n overflow: hidden\r\n}\r\n\r\n.weather-nav-location-set-btn:before {\r\n background-position: -324px -64px\r\n}\r\n\r\n.weather-nav-location-set-btn:hover:before {\r\n background-position: -324px -44px\r\n}\r\n\r\n.weather-nav-location-cancel-btn:before {\r\n background-position: -5px -6px\r\n}\r\n\r\n.weather-nav-location-cancel-btn:hover:before {\r\n background-position: -5px -6px\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-content {\r\n position: relative;\r\n top: -8px;\r\n padding-top: 0\r\n}\r\n\r\n.weather-nav-location-form .ui-form-field-container {\r\n margin-bottom: 8px\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-result-item:first-child {\r\n border-top-left-radius: 0;\r\n border-top-right-radius: 0\r\n}\r\n\r\n.weather-nav-location-form .ui-autocomplete-result-item:first-child:before {\r\n background: transparent\r\n}\r\n\r\n.weather-nav-location-error {\r\n color: #ffc000;\r\n display: none;\r\n font-size: 11px;\r\n font-weight: 700\r\n}\r\n\r\n.weather-nav-full-forecast-btn {\r\n clear: both;\r\n text-align: center;\r\n text-shadow: none;\r\n background: #222;\r\n background: rgba(0,0,0,.11);\r\n border-top: 1px solid #404040;\r\n display: block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n color: #fff;\r\n text-decoration: none;\r\n transition: background-color .4s;\r\n -moz-transition: background-color .4s;\r\n -webkit-transition: background-color .4s;\r\n -o-transition: background-color .4s;\r\n padding: 14px 0;\r\n height: 12px;\r\n width: 100%;\r\n text-transform: none\r\n}\r\n\r\n.weather-nav-full-forecast-btn:visited {\r\n color: #fff\r\n}\r\n\r\n.weather-nav-full-forecast-btn:hover {\r\n background: #000;\r\n background: rgba(0,0,0,.3);\r\n color: #fff;\r\n text-decoration: underline\r\n}\r\n\r\n.weather-nav-full-forecast-btn:active {\r\n color: #029ae6\r\n}\r\n\r\n.weather-nav-full-forecast-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -128px -7px;\r\n content: '';\r\n display: inline-block;\r\n height: 8px;\r\n margin: 0 7px 0 0;\r\n width: 4px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-1 {\r\n background-position: 0 0\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-2 {\r\n background-position: 0 -50px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-3 {\r\n background-position: 0 -100px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-4 {\r\n background-position: 0 -150px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-5 {\r\n background-position: 0 -200px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-6 {\r\n background-position: 0 -250px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-7 {\r\n background-position: 0 -300px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-8 {\r\n background-position: 0 -350px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-11 {\r\n background-position: 0 -400px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-12 {\r\n background-position: 0 -450px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-13 {\r\n background-position: 0 -500px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-14 {\r\n background-position: 0 -550px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-15 {\r\n background-position: 0 -600px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-16 {\r\n background-position: 0 -650px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-17 {\r\n background-position: 0 -700px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-18 {\r\n background-position: 0 -750px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-19 {\r\n background-position: 0 -800px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-20 {\r\n background-position: 0 -850px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-21 {\r\n background-position: 0 -900px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-22 {\r\n background-position: 0 -950px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-23 {\r\n background-position: 0 -1000px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-24 {\r\n background-position: 0 -1050px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-25 {\r\n background-position: 0 -1100px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-26 {\r\n background-position: 0 -1150px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-29 {\r\n background-position: 0 -1200px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-30 {\r\n background-position: 0 -1250px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-31 {\r\n background-position: 0 -1300px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-32 {\r\n background-position: 0 -1350px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-33 {\r\n background-position: 0 -1400px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-34 {\r\n background-position: 0 -1450px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-35 {\r\n background-position: 0 -1500px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-36 {\r\n background-position: 0 -1550px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-37 {\r\n background-position: 0 -1600px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-38 {\r\n background-position: 0 -1650px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-39 {\r\n background-position: 0 -1700px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-40 {\r\n background-position: 0 -1750px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-41 {\r\n background-position: 0 -1800px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-42 {\r\n background-position: 0 -1850px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-43 {\r\n background-position: 0 -1900px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.wicon-44 {\r\n background-position: 0 -1950px\r\n}\r\n\r\n.weather-nav-dropdown-wicon.mostly-clear {\r\n background-position: 0 -1450px\r\n}\r\n\r\n.wbtn-wrap-wicon:before {\r\n background-image: url(../../images/weather/wicon-sprite-med.png);\r\n background-repeat: no-repeat;\r\n -webkit-backface-visibility: hidden;\r\n content: '';\r\n display: inline-block;\r\n height: 20px;\r\n margin: 0 5px 0 0;\r\n position: relative;\r\n top: 6px;\r\n width: 30px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-1:before {\r\n background-position: 0 0\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-2:before {\r\n background-position: 0 -20px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-3:before {\r\n background-position: 0 -40px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-4:before {\r\n background-position: 0 -60px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-5:before {\r\n background-position: 0 -80px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-6:before {\r\n background-position: 0 -100px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-7:before {\r\n background-position: 0 -120px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-8:before {\r\n background-position: 0 -140px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-11:before {\r\n background-position: 0 -160px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-12:before {\r\n background-position: 0 -180px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-13:before {\r\n background-position: 0 -200px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-14:before {\r\n background-position: 0 -220px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-15:before {\r\n background-position: 0 -240px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-16:before {\r\n background-position: 0 -260px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-17:before {\r\n background-position: 0 -280px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-18:before {\r\n background-position: 0 -300px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-19:before {\r\n background-position: 0 -320px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-20:before {\r\n background-position: 0 -340px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-21:before {\r\n background-position: 0 -360px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-22:before {\r\n background-position: 0 -380px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-23:before {\r\n background-position: 0 -400px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-24:before {\r\n background-position: 0 -420px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-25:before {\r\n background-position: 0 -440px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-26:before {\r\n background-position: 0 -460px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-29:before {\r\n background-position: 0 -480px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-30:before {\r\n background-position: 0 -500px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-31:before {\r\n background-position: 0 -520px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-32:before {\r\n background-position: 0 -540px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-33:before {\r\n background-position: 0 -560px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-34:before {\r\n background-position: 0 -580px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-35:before {\r\n background-position: 0 -600px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-36:before {\r\n background-position: 0 -620px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-37:before {\r\n background-position: 0 -640px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-38:before {\r\n background-position: 0 -660px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-39:before {\r\n background-position: 0 -680px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-40:before {\r\n background-position: 0 -700px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-41:before {\r\n background-position: 0 -720px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-42:before {\r\n background-position: 0 -740px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-43:before {\r\n background-position: 0 -760px\r\n}\r\n\r\n.wbtn-wrap-wicon.wicon-44:before {\r\n background-position: 0 -780px\r\n}\r\n\r\n.front-headlines-header {\r\n background: #f2f2f2;\r\n border-bottom: 1px solid #e1e1e1;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 50px;\r\n position: relative;\r\n padding: 10px 20px 9px\r\n}\r\n\r\n.front-headlines-header-usat-network {\r\n background: #f2f2f2;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 40px;\r\n position: relative;\r\n padding: 6px\r\n}\r\n\r\n.headlines-header {\r\n background: #cecece;\r\n background: rgba(0,0,0,.15);\r\n border-bottom: 1px solid #a6a6a6;\r\n padding: 10px 20px 9px;\r\n position: relative;\r\n min-height: 32px\r\n}\r\n\r\n.headlines-header-title {\r\n color: #666;\r\n float: left;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 15px;\r\n font-weight: 400;\r\n margin: 6px 5px 0 0;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 #fff\r\n}\r\n\r\n.headlines-header-time {\r\n color: #999;\r\n float: left;\r\n font-size: 11px;\r\n margin: 10px 0 0\r\n}\r\n\r\n.headline-toggler {\r\n font-size: 13px;\r\n float: right\r\n}\r\n\r\n.front-headline-toggler {\r\n right: 0;\r\n margin: 0\r\n}\r\n\r\n.front-headline-toggler.ui-button-toggle {\r\n background: #17a2ff\r\n}\r\n\r\n.headline-toggler>.ui-button-toggle-item:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: inline-block;\r\n margin-right: 5px;\r\n overflow: hidden;\r\n position: relative;\r\n top: 2px\r\n}\r\n\r\n.headline-toggler>.headline-toggler-listview:before {\r\n background-position: -902px -24px;\r\n height: 12px;\r\n width: 16px\r\n}\r\n\r\n.headline-toggler>.headline-toggler-listview.active:before {\r\n background-position: -902px -4px\r\n}\r\n\r\n.headline-toggler>.headline-toggler-gridview:before {\r\n background-position: -883px -24px;\r\n height: 12px;\r\n width: 14px\r\n}\r\n\r\n.headline-toggler>.headline-toggler-gridview.active:before {\r\n background-position: -883px -4px\r\n}\r\n\r\n.headline-big-page-btn.ui-btn {\r\n box-shadow: none;\r\n padding: 0 12px;\r\n margin-left: 20px\r\n}\r\n\r\n.headline-big-page-btn.ui-btn,.headline-big-page-btn.ui-btn:hover {\r\n float: right;\r\n text-shadow: none\r\n}\r\n\r\n.headline-big-page-btn.ui-btn:before {\r\n background: url(../../images/sprites/ui-sprite.png) 0 0 no-repeat;\r\n background-position: -959px -24px;\r\n content: '';\r\n display: inline-block;\r\n height: 16px;\r\n margin-right: 5px;\r\n overflow: hidden;\r\n position: relative;\r\n top: 3px;\r\n width: 14px\r\n}\r\n\r\n.headline-toggler.no-big-page {\r\n right: 20px\r\n}\r\n\r\n.lt-ie9 .ui-btn.headline-big-page-btn {\r\n display: none\r\n}\r\n\r\n.headline-asset-item {\r\n background: url(../../images/headlines-shadow.png) 0 -1px no-repeat;\r\n color: #fff;\r\n float: left;\r\n height: 243px;\r\n margin: 8px 0 7px 14px;\r\n position: relative;\r\n width: 220px\r\n}\r\n\r\n.headline-asset-item.headline-asset-item-stagfront {\r\n margin: 8px 0 8px 16px\r\n}\r\n\r\n.headlines .first-column {\r\n margin: 8px 0 7px 14px\r\n}\r\n\r\n.headline-page {\r\n max-height: 5000px;\r\n overflow: hidden;\r\n -moz-transition: max-height .3s ease-in;\r\n -ms-transition: max-height .3s ease-in;\r\n -o-transition: max-height .3s ease-in;\r\n -webkit-transition: max-height .3s ease-in;\r\n transition: max-height .3s ease-in\r\n}\r\n\r\n.headline-page.inactive {\r\n max-height: 0\r\n}\r\n\r\n.lt-ie9 .headline-asset-item:hover .headline-asset-item-tile {\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#80000000', endColorstr='#80000000', GradientType=0)\r\n}\r\n\r\n.lt-ie9 .headline-asset-item.hasimage:hover .headline-asset-item-tile {\r\n background-color: transparent\r\n}\r\n\r\n.lt-ie9 .listview .headline-asset-item:hover .headline-asset-item-tile {\r\n filter: none;\r\n outline: 0\r\n}\r\n\r\n.noimage .headline-asset-item-back {\r\n background-color: transparent;\r\n background-color: rgba(0,0,0,.5);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#80000000', endColorstr='#80000000', GradientType=0)\r\n}\r\n\r\n.listview .noimage .headline-asset-item-back {\r\n background-color: transparent;\r\n filter: none\r\n}\r\n\r\n.headline-asset-item>.parent-label {\r\n left: 10px;\r\n top: 10px\r\n}\r\n\r\n.headline-asset-item-tile {\r\n height: 220px;\r\n left: 10px;\r\n opacity: 1;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 10px;\r\n width: 200px\r\n}\r\n\r\n.headline-asset-item:hover .headline-asset-item-front {\r\n opacity: 1;\r\n z-index: 0\r\n}\r\n\r\n.lt-ie9 .headline-asset-item:hover .headline-asset-item-front {\r\n opacity: 1;\r\n z-index: 0\r\n}\r\n\r\n.headline-asset-item:hover .headline-asset-item-front .title {\r\n opacity: 0;\r\n visibility: hidden\r\n}\r\n\r\n.gallery-more .headline-asset-item:hover .headline-asset-item-front .title {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.headline-asset-item-back {\r\n opacity: 0\r\n}\r\n\r\n.headline-asset-item:hover .headline-asset-item-back {\r\n z-index: 1;\r\n opacity: 1\r\n}\r\n\r\n.lt-ie9 .headline-asset-item:hover .headline-asset-item-back .headline-asset-item-back-title,.lt-ie9 .headline-asset-item:hover .headline-asset-item-back .headline-asset-item-back-text,.lt-ie9 .headline-asset-item:hover .headline-asset-item-back .headline-asset-item-byline {\r\n z-index: 2;\r\n filter: none;\r\n background: transparent\r\n}\r\n\r\n.asset .headline-asset-item:hover .headline-asset-item-front {\r\n opacity: 1\r\n}\r\n\r\n.headline-asset-item-back,.headline-asset-item:hover .headline-asset-item-front .title {\r\n -moz-transition: opacity .2s ease-in-out;\r\n -ms-transition: opacity .2s ease-in-out;\r\n -o-transition: opacity .2s ease-in-out;\r\n transition: opacity .2s ease-in-out\r\n}\r\n\r\n.headline-asset-item-front {\r\n z-index: 1\r\n}\r\n\r\n.headline-asset-item-front-title {\r\n display: table;\r\n font-weight: 700;\r\n margin: 0;\r\n position: absolute;\r\n -moz-font-feature-settings: \"liga=1,dlig=1,kern=1,opbd=1,titl=1\";\r\n -webkit-font-feature-settings: \"liga\",\"dlig\",\"kern\",\"opbd\",\"titl\";\r\n font-feature-settings: \"liga\",\"dlig\",\"kern\",\"opbd\",\"titl\"\r\n}\r\n\r\n.headline-asset-item-front>.image {\r\n background: transparent url(../../images/pixels/pixel-black-70percent.png) repeat top left;\r\n border-top: 1px solid #000;\r\n bottom: 0;\r\n font-size: 13px;\r\n height: 39px;\r\n left: 0;\r\n line-height: 14px;\r\n padding: 8px 10px 10px;\r\n width: 180px\r\n}\r\n\r\n.headline-asset-item-front>.gallery-head {\r\n background: transparent url(../../images/pixels/pixel-black-70percent.png) repeat top left;\r\n border-top: 1px solid #000;\r\n bottom: 0;\r\n font-size: 13px;\r\n height: 39px;\r\n left: 0;\r\n line-height: 14px;\r\n padding: 8px 10px 10px;\r\n width: 134px;\r\n color: #fff;\r\n display: table\r\n}\r\n\r\n.headline-asset-item-front>.noimage {\r\n font-size: 24px;\r\n height: 165px;\r\n left: 18px;\r\n line-height: 27px;\r\n overflow: hidden;\r\n top: 40px;\r\n width: 165px\r\n}\r\n\r\n.headline-asset-item,.headline-asset-item .headline-grid-load-story {\r\n color: #fff\r\n}\r\n\r\n.headline-asset-item .headline-grid-load-story {\r\n width: 100%;\r\n height: 100%;\r\n display: inline-block\r\n}\r\n\r\n.headline-asset-item-back-text,.headline-asset-item-back-listview-text {\r\n color: #fff;\r\n color: rgba(255,255,255,.9);\r\n font-size: 12px;\r\n line-height: 16px;\r\n margin: 20px 20px 0\r\n}\r\n\r\n.headline-asset-item-back-title {\r\n font-size: 14px;\r\n font-weight: 700;\r\n left: 18px;\r\n margin: 30px 20px 0;\r\n top: 40px;\r\n width: 165px\r\n}\r\n\r\n.headline-asset-item-byline {\r\n bottom: 11px;\r\n height: 22px;\r\n left: 0;\r\n margin: 0;\r\n overflow: hidden;\r\n padding-left: 20px;\r\n position: absolute;\r\n width: 180px\r\n}\r\n\r\n.headline-asset-item>.with-avatar {\r\n height: 31px;\r\n line-height: 31px\r\n}\r\n\r\n.headline-asset-item-back>.headline-asset-item-meta {\r\n display: none\r\n}\r\n\r\n.headlines-show-more {\r\n clear: both;\r\n margin: 5px auto 20px;\r\n text-align: center\r\n}\r\n\r\n.listview .headline-asset-item .headline-asset-item-byline {\r\n color: #292929;\r\n display: none;\r\n height: 28px;\r\n left: 230px;\r\n top: 53px\r\n}\r\n\r\n.listview .headline-asset-item.stagfront {\r\n border-bottom: 1px solid #ccc;\r\n border-top: 1px solid #f5f5f5;\r\n width: 920px\r\n}\r\n\r\n.headline-collection.listview {\r\n margin-bottom: 23px\r\n}\r\n\r\n.listview .headline-asset-item {\r\n background: transparent;\r\n margin: 0;\r\n min-height: 121px;\r\n height: auto;\r\n overflow: hidden;\r\n width: 720px;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n.lt-ie9 .listview .headline-asset-item {\r\n height: 120px;\r\n display: table-cell\r\n}\r\n\r\n.listview .headline-asset-item-stagfront {\r\n width: 960px\r\n}\r\n\r\n.listview .headline-asset-item-tile {\r\n border-bottom: 1px solid #e6e6e6;\r\n background: transparent;\r\n background-color: transparent;\r\n display: inline-block;\r\n top: 0;\r\n left: 0;\r\n width: 680px;\r\n margin: 20px 20px 0;\r\n padding-bottom: 19px;\r\n min-height: 81px;\r\n height: auto;\r\n position: absolute\r\n}\r\n\r\n.listview .headline-asset-item-back {\r\n opacity: 1\r\n}\r\n\r\n.stag .listview .headline-asset-item-tile {\r\n min-height: 100px;\r\n width: 920px\r\n}\r\n\r\n.listview .headline-grid-image {\r\n height: auto;\r\n margin-top: -5px;\r\n width: 80px\r\n}\r\n\r\n.listview .image-wrap {\r\n display: block;\r\n height: 80px;\r\n overflow: hidden;\r\n width: auto\r\n}\r\n\r\n.listview .parent-label {\r\n display: none\r\n}\r\n\r\n.listview .headline-asset-item-tileImage {\r\n margin: 20px 0 0 20px\r\n}\r\n\r\n.listview .noimage .headline-asset-item-back-title {\r\n margin-left: 0\r\n}\r\n\r\n.listview .anchor:hover .headline-asset-item-back-title {\r\n color: #009bff\r\n}\r\n\r\n.listview .headline-asset-item-front-title,.listview .headline-asset-item-back-text {\r\n display: none\r\n}\r\n\r\n.listview .headline-asset-item-back-listview-text {\r\n color: #999;\r\n line-height: 18px;\r\n margin: 0 0 0 100px;\r\n word-break: keep-all;\r\n float: left\r\n}\r\n\r\n.listview .noimage .headline-asset-item-back-listview-text {\r\n margin-left: 0\r\n}\r\n\r\n.listview .headline-asset-item-meta {\r\n color: #999;\r\n display: block;\r\n float: left;\r\n clear: left;\r\n font-size: 11px;\r\n line-height: 18px;\r\n list-style: none;\r\n margin: 2px 0 0 100px;\r\n padding: 0\r\n}\r\n\r\n.listview .noimage .headline-asset-item-meta {\r\n margin-left: 0\r\n}\r\n\r\n.headline-asset-item-back-listview-text {\r\n display: none\r\n}\r\n\r\n.listview .headline-asset-item-back-listview-text {\r\n display: inline-block\r\n}\r\n\r\n.listview .headline-asset-item-meta .headline-asset-item-section {\r\n border-right: 1px solid #dedede;\r\n color: #009bff;\r\n float: left;\r\n font-size: 12px;\r\n font-weight: 700;\r\n padding-right: 10px\r\n}\r\n\r\n.listview .headline-asset-item-meta .headline-asset-item-section.no-border {\r\n border: 0\r\n}\r\n\r\n.listview .headline-asset-item-back>.headline-asset-item-meta .headline-asset-item-time {\r\n float: left;\r\n font-style: italic;\r\n margin-left: 10px\r\n}\r\n\r\n.listview .headline-asset-item-back-title {\r\n clear: left;\r\n color: #333;\r\n float: left;\r\n font-size: 16px;\r\n height: auto;\r\n margin: 0 0 0 100px;\r\n top: 0;\r\n width: 580px\r\n}\r\n\r\n.listview .title.headline-asset-item-back-title.headline-adjust {\r\n width: 680px\r\n}\r\n\r\n.listview .headline-asset-item-stagfront .headline-asset-item-back-title {\r\n width: 790px\r\n}\r\n\r\n.lt-ie10 .headline-collection {\r\n display: inline-block\r\n}\r\n\r\n.gallery-more .headline-asset-item {\r\n background: 0;\r\n box-shadow: 0 1px 10px 0 rgba(0,0,0,.3);\r\n height: 204px;\r\n width: 164px\r\n}\r\n\r\n.gallery-more .headline-asset-item .headline-asset-item-front>.title {\r\n opacity: 1\r\n}\r\n\r\n.gallery-more .headline-asset-item-front>.image {\r\n bottom: -13px;\r\n position: absolute\r\n}\r\n\r\n.gallery-more .headline-asset-item:hover .headline-asset-item-front {\r\n opacity: 1;\r\n visibility: visible\r\n}\r\n\r\n.lt-ie9 .gallery-more .headline-asset-item {\r\n outline: 1px solid #f0f0f0\r\n}\r\n\r\n.gallery-more .headline-asset-item-tile {\r\n height: 194px;\r\n left: 5px;\r\n top: 5px;\r\n width: 154px\r\n}\r\n\r\n.gallery-more .tileImage {\r\n height: 194px;\r\n margin-left: -82px;\r\n margin-top: -2px\r\n}\r\n\r\n.gallery-more .gallery-more-img {\r\n margin-left: 0;\r\n margin-top: 0\r\n}\r\n\r\n.content-info-icon-text,.content-info-icon-video,.content-info-icon-gallery {\r\n line-height: 18px\r\n}\r\n\r\n.content-info-icon-video,.content-info-icon-gallery {\r\n display: table-cell;\r\n padding-left: 23px\r\n}\r\n\r\n.content-info-icon-video:before,.content-info-icon-gallery:before {\r\n content: \"\";\r\n float: left;\r\n height: 17px;\r\n left: 8px;\r\n margin: 0 5px 0 0;\r\n overflow: hidden;\r\n position: absolute;\r\n text-indent: 105%;\r\n top: 10px;\r\n white-space: nowrap;\r\n width: 17px\r\n}\r\n\r\n.listview .content-info-icon-video,.listview .content-info-icon-gallery,.hero-popular-item-subtext>.content-info-icon-video,.hero-popular-item-subtext>.content-info-icon-gallery,.headline-asset-item-section-label {\r\n display: block;\r\n padding-left: 0;\r\n position: static\r\n}\r\n\r\n.listview .content-info-icon-video:before,.listview .content-info-icon-gallery:before,.hero-popular-item-subtext>.content-info-icon-video:before,.hero-popular-item-subtext>.content-info-icon-gallery:before {\r\n display: inline-block;\r\n position: static\r\n}\r\n\r\n.content-info-icon-video:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -581px -1px\r\n}\r\n\r\n.content-info-icon-gallery:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -822px -43px\r\n}\r\n\r\n.hero-popular-small-anchor .content-info-icon-video:before,.listview .content-info-icon-video:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -581px -61px\r\n}\r\n\r\n.hero-popular-small-anchor .content-info-icon-gallery:before,.listview .content-info-icon-gallery:before {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -822px -2px\r\n}\r\n\r\n.listview .headline-asset-item-byline {\r\n left: 140px\r\n}\r\n\r\na.button-add-content {\r\n background: transparent url(../../images/buttons/button-add-content-back.png) repeat-x top left;\r\n color: #666;\r\n display: inline-block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 12px;\r\n padding: 11px 10px;\r\n position: relative;\r\n outline: 0\r\n}\r\n\r\na.button-add-content:before {\r\n background: transparent url(../../images/buttons/button-add-content-caps.png) no-repeat top left;\r\n content: url(../../images/buttons/button-add-content-icon-default.png);\r\n height: 34px;\r\n left: -34px;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0;\r\n width: 34px\r\n}\r\n\r\na.button-add-content:after {\r\n background: transparent url(../../images/buttons/button-add-content-caps.png) no-repeat top right;\r\n content: '';\r\n height: 34px;\r\n position: absolute;\r\n right: -16px;\r\n top: 0;\r\n width: 16px\r\n}\r\n\r\na.button-add-content:hover:after {\r\n background-position: center right\r\n}\r\n\r\na.button-add-content:active,a.button-add-content:active:before {\r\n background-position: bottom left;\r\n color: #0af\r\n}\r\n\r\na.button-add-content:hover:before {\r\n content: url(../../images/buttons/button-add-content-icon-hover.png)\r\n}\r\n\r\na.button-add-content:active:before {\r\n content: url(../../images/buttons/button-add-content-icon-open.png)\r\n}\r\n\r\na.button-add-content:active:hover:before,.active a.button-add-content:before {\r\n content: url(../../images/buttons/button-add-content-icon-open-hover.png)\r\n}\r\n\r\na.button-add-content:active:after,.active a.button-add-content:after {\r\n background-position: bottom right\r\n}\r\n\r\na.button-add-content:hover,a.button-add-content:hover:before,.active a.button-add-content,.active a.button-add-content:before {\r\n background-position: center left;\r\n color: #0af\r\n}\r\n\r\na.button-add-content.loading,a.button-add-content.loading:active,a.button-add-content.loading:hover,a.button-add-content.loading:active:before,a.button-add-content.loading:hover:before {\r\n background-position: top left;\r\n color: #666\r\n}\r\n\r\na.button-add-content.loading:after,a.button-add-content.loading:active:after,a.button-add-content.loading:hover:after {\r\n background-position: top right\r\n}\r\n\r\na.button-add-content.loading:before {\r\n content: url(../../images/preloaders/windmill-loader_1x_light.gif);\r\n padding-top: 5px;\r\n height: 29px\r\n}\r\n\r\na.button-add-content.inactive,a.button-add-content.inactive:active,a.button-add-content.inactive:hover,a.button-add-content.inactive:before,a.button-add-content.inactive:active:before,a.button-add-content.inactive:hover:before {\r\n background-position: top left;\r\n color: #ccc\r\n}\r\n\r\na.button-add-content.inactive:active:before,a.button-add-content.inactive:hover:before {\r\n content: url(../../images/buttons/button-add-content-icon-default.png)\r\n}\r\n\r\na.button-add-content.inactive:after,a.button-add-content.inactive:active:after,a.button-add-content.inactive:hover:after {\r\n background-position: top right\r\n}\r\n\r\n.headlines a.button-add-content.inactive,.headlines a.button-add-content.inactive:after,.headlines a.button-add-content.inactive:before {\r\n pointer-events: none;\r\n cursor: default\r\n}\r\n\r\n.headlines-usatoday-network {\r\n background: url(../../images/globalsections/usatoday-network-logo.png) no-repeat;\r\n background-size: auto 28px;\r\n display: inline-block;\r\n height: 30px;\r\n margin: 0;\r\n overflow: hidden;\r\n text-indent: -99px;\r\n width: 280px\r\n}\r\n\r\n.headlines .video .play-btn-l {\r\n bottom: 70px;\r\n right: 10px\r\n}\r\n\r\n.hgpfm-heading {\r\n font-family: \"Futura Today Bold\";\r\n font-size: 15px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n margin: 0 15px;\r\n padding: 15px 0 0\r\n}\r\n\r\n.hgpfm-link {\r\n border: 0;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: inline-block;\r\n margin: 13px 10px;\r\n position: relative;\r\n vertical-align: top;\r\n width: 155px\r\n}\r\n\r\n.hgpfm-link:hover {\r\n background: none!important;\r\n border: 0!important\r\n}\r\n\r\n.hgpfm-link:first-child {\r\n margin-left: 20px\r\n}\r\n\r\n.hgpfm-link.hgpfm-link-with-module-header {\r\n margin-top: 10px\r\n}\r\n\r\n.hgpfm-image-link:before {\r\n background: transparent;\r\n content: '';\r\n display: block;\r\n height: 100%;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hgpfm-image-link:hover:before {\r\n background-color: rgba(0,0,0,0)\r\n}\r\n\r\n.hgpfm-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n content: \"\";\r\n display: block;\r\n height: 62px;\r\n left: 0;\r\n position: absolute;\r\n top: 93px;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hgpfm-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hgpfm-image {\r\n height: 155px;\r\n width: 155px\r\n}\r\n\r\n.hgpfm-text-link {\r\n border: 1px solid #e0e0e0;\r\n color: #333;\r\n transition: background-color,border-color .15s,.15s\r\n}\r\n\r\n.hgpfm-image-hed-wrap {\r\n padding: 5px 0 10px\r\n}\r\n\r\n.hgpfm-image-video-hed-icon,.hgpfm-image-gallery-hed-icon {\r\n color: #fff;\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 26px;\r\n left: 5px;\r\n line-height: 27px;\r\n padding: 0 8px 0 0;\r\n position: absolute;\r\n top: 120px;\r\n vertical-align: top;\r\n z-index: 2\r\n}\r\n\r\n.hgpfm-image-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.hgpfm-image-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n.hgpfm-image-hed {\r\n color: #333;\r\n display: table-cell;\r\n font-family: Helvetica,Arial,sans-serif;\r\n font-size: 13px;\r\n line-height: 18px;\r\n padding: 0;\r\n vertical-align: middle\r\n}\r\n\r\n.hgpfm-text-hed-wrap {\r\n display: table;\r\n height: 100%\r\n}\r\n\r\n.hgpfm-text-hed {\r\n display: table-cell;\r\n font-family: \"Futura Today Light\";\r\n font-size: 24px;\r\n line-height: 27px;\r\n padding: 0 10px;\r\n transition: color .15s;\r\n vertical-align: middle\r\n}\r\n\r\n.hgpfm-text-link:hover .hgpfm-text-hed {\r\n color: #fff\r\n}\r\n\r\n.hgpfm-link.hgpfm-link-4,.hgpfm-link.hgpfm-link-8,.hgpfm-link.hgpfm-link-12 {\r\n margin-right: 0\r\n}\r\n\r\n@media only screen and (min-width: 1320px) {\r\n .hgpfm-link {\r\n height:230px;\r\n margin: 15px;\r\n width: 210px\r\n }\r\n \r\n .hgpfm-link:first-child {\r\n margin-left: 15px\r\n }\r\n \r\n .hgpfm-image-gradient {\r\n bottom: 0;\r\n height: 40%;\r\n top: auto\r\n }\r\n \r\n .hgpfm-image {\r\n bottom: 0;\r\n height: auto;\r\n left: 0;\r\n position: absolute;\r\n width: auto\r\n }\r\n \r\n .hgpfm-image-link {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2)\r\n }\r\n \r\n .hgpfm-image-hed-wrap {\r\n bottom: 0;\r\n left: 0;\r\n padding: 0 10px 11px;\r\n position: absolute;\r\n z-index: 10\r\n }\r\n \r\n .hgpfm-image-hed {\r\n color: #fff;\r\n font-size: 14px;\r\n font-weight: 700;\r\n text-shadow: 0 1px 1px #000\r\n }\r\n \r\n .hgpfm-image-video-hed-icon,.hgpfm-image-gallery-hed-icon {\r\n left: 0;\r\n position: relative;\r\n top: 0\r\n }\r\n}\r\n\r\n.hgsm-heading {\r\n font-family: \"Futura Today Bold\";\r\n font-size: 15px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n margin: 0 15px;\r\n padding: 17px 0 0\r\n}\r\n\r\n.hgsm-link {\r\n border-bottom: 1px solid #e6e6e6;\r\n box-sizing: border-box;\r\n display: block;\r\n margin: 0 15px;\r\n position: relative;\r\n vertical-align: top;\r\n width: 210px\r\n}\r\n\r\n.hgsm-image-link.hgsm-last-link {\r\n border: 0\r\n}\r\n\r\n.hgsm-image-link:before {\r\n background: rgba(0,0,0,.15);\r\n content: '';\r\n display: block;\r\n height: 157px;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hgsm-image-link:hover:before {\r\n background-color: rgba(0,0,0,0)\r\n}\r\n\r\n.hgsm-image {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2);\r\n display: block\r\n}\r\n\r\n.hgsm-text-link {\r\n border: 1px solid #e0e0e0;\r\n color: #333;\r\n transition: background-color,border-color .15s,.15s;\r\n margin: 20px 15px 45px\r\n}\r\n\r\n.hgsm-text-link:hover {\r\n background: #7c7c7c\r\n}\r\n\r\n.hgsm-text-link:after {\r\n background: #e0e0e0;\r\n content: '';\r\n display: block;\r\n height: 1px;\r\n margin: 15px 0 0;\r\n width: 100%;\r\n position: absolute;\r\n bottom: -22px\r\n}\r\n\r\n.hgsm-text-link.hgsm-last-link:after {\r\n display: none\r\n}\r\n\r\n.hgsm-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hgsm-text-hed-wrap-top-align>.hgsm-ssts-label-top-left {\r\n position: relative;\r\n left: 0;\r\n top: 0;\r\n margin: 10px 10px 8px;\r\n display: inline-block\r\n}\r\n\r\n.hgsm-ssts-label {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px\r\n}\r\n\r\n.hgsm-image-hed {\r\n color: #333;\r\n font-family: Helvetica,Arial,sans-serif;\r\n font-size: 14px;\r\n left: 0;\r\n margin: 6px 0 24px;\r\n transition: color .15s\r\n}\r\n\r\n.hgsm-image-link:hover .hgsm-image-hed {\r\n color: #009bff\r\n}\r\n\r\n.hgsm-text-hed-wrap {\r\n display: table;\r\n height: 200px;\r\n box-sizing: border-box\r\n}\r\n\r\n.hgsm-text-hed-wrap-top-align {\r\n display: block;\r\n min-height: auto;\r\n padding-bottom: 10px\r\n}\r\n\r\n.hgsm-text-hed {\r\n font-family: \"Futura Today Light\";\r\n font-size: 24px;\r\n line-height: 27px;\r\n transition: color .15s;\r\n padding: 0 10px;\r\n display: table-cell;\r\n vertical-align: middle\r\n}\r\n\r\n.hgsm-text-link:hover .hgsm-text-hed {\r\n color: #fff\r\n}\r\n\r\n@media only screen and (max-width: 1320px) {\r\n .hgsm-link {\r\n display:inline-block;\r\n margin: 16px 15px 0\r\n }\r\n \r\n .hgsm-link-3 {\r\n margin-left: 14px\r\n }\r\n \r\n .hgsm-image-link {\r\n border: 0\r\n }\r\n \r\n .hgsm-text-link:after {\r\n display: none\r\n }\r\n \r\n .hgsm-truncated-link {\r\n display: none\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1321px) {\r\n .hgsm-link {\r\n margin-top:26px\r\n }\r\n}\r\n\r\n.shnnfm-branding {\r\n margin: 0;\r\n text-align: center\r\n}\r\n\r\n.shnnfm-logo {\r\n display: inline-block\r\n}\r\n\r\n.shnnfm-line {\r\n background-color: #000;\r\n display: inline-block;\r\n height: 1px;\r\n margin: 0 25px 2px;\r\n width: 162px\r\n}\r\n\r\n.sidebar-title.sports-follow-usat-sidebar-title {\r\n text-align: center\r\n}\r\n\r\n.sports-follow-usat-module>.sidebar-title-wrapper {\r\n padding: 0;\r\n border-bottom: 2px solid #ccc!important\r\n}\r\n\r\n.sports-follow-usat-module .follow-text {\r\n vertical-align: middle;\r\n letter-spacing: 0\r\n}\r\n\r\n.sports-follow-usat-module .follow-button-wrapper {\r\n height: 32px;\r\n display: inline-block;\r\n vertical-align: middle\r\n}\r\n\r\n.sports-follow-usat-module .sports-follow-usat-sidebar-title {\r\n padding: 4px\r\n}\r\n\r\n.sports-follow-usat-module .follow-button {\r\n background-repeat: no-repeat;\r\n display: inline-block;\r\n width: 32px;\r\n height: 32px\r\n}\r\n\r\n.sports-follow-usat-module .follow-button.facebook {\r\n background-image: url(../../images/modules/sports/follow/ico-sidebar-fb.png)\r\n}\r\n\r\n.sports-follow-usat-module .follow-button.twitter {\r\n background-image: url(../../images/modules/sports/follow/ico-sidebar-tw.png)\r\n}\r\n\r\n.sports-follow-usat-module .follow-button.instagram {\r\n background-image: url(../../images/modules/sports/follow/ico-sidebar-ins.png)\r\n}\r\n\r\n@media (-webkit-min-device-pixel-ratio: 2),(min-resolution:192dpi) {\r\n .sports-follow-usat-module .follow-button.facebook {\r\n background-image:url(../../images/modules/sports/follow/ico-sidebar-fb2x.png)\r\n }\r\n \r\n .sports-follow-usat-module .follow-button.twitter {\r\n background-image: url(../../images/modules/sports/follow/ico-sidebar-tw2x.png)\r\n }\r\n \r\n .sports-follow-usat-module .follow-button.instagram {\r\n background-image: url(../../images/modules/sports/follow/ico-sidebar-ins2x.png)\r\n }\r\n}\r\n\r\n.sports-follow-usat-module .follow-button {\r\n background-size: 32px\r\n}\r\n\r\n#follow-usat-film {\r\n background-color: #000;\r\n display: none;\r\n opacity: .7;\r\n filter: alpha(opacity=70);\r\n position: fixed;\r\n top: 0;\r\n left: 0;\r\n right: 0;\r\n bottom: 0;\r\n z-index: 120\r\n}\r\n\r\n#follow-usat-box-details {\r\n background-color: #b81800;\r\n display: none;\r\n color: #fff;\r\n position: absolute;\r\n left: 50%;\r\n margin-left: -290px;\r\n width: 579px;\r\n z-index: 125;\r\n min-height: 100px\r\n}\r\n\r\n.border-box-sizing {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box\r\n}\r\n\r\n.follow-headline-wrap {\r\n width: 579px;\r\n min-height: 120px;\r\n display: block;\r\n padding: 28px 0 0 45px;\r\n background: url(../../images/modules/sports/follow/follow-overlay-bg.jpg);\r\n background-repeat: no-repeat;\r\n background-size: contain\r\n}\r\n\r\n.follow-headline-wrap h2 {\r\n font-family: 'Futura Today Bold';\r\n margin: 0;\r\n line-height: 1.1;\r\n font-size: 2.2em\r\n}\r\n\r\n.follow-headline-wrap h3 {\r\n font-family: 'Futura Today';\r\n font-weight: 400;\r\n line-height: 1.1;\r\n margin: 0\r\n}\r\n\r\n.follow-buttons-wrap {\r\n text-align: center;\r\n position: relative;\r\n margin: 15px 0;\r\n line-height: 1!important\r\n}\r\n\r\n.follow-buttons-wrap .follow-button-wrap {\r\n display: inline-block;\r\n vertical-align: top;\r\n margin: 0 5px\r\n}\r\n\r\n.follow-buttons-wrap .follow-button-wrap.fb-wrap {\r\n -webkit-user-select: none;\r\n -moz-user-select: none;\r\n -o-user-select: none;\r\n user-select: none\r\n}\r\n\r\n.follow-buttons-wrap .twitter-follow-button {\r\n height: 20px;\r\n width: 145px!important;\r\n margin: 0 10px\r\n}\r\n\r\n.ig-b-v-24 {\r\n width: 137px;\r\n height: 24px\r\n}\r\n\r\n.follow-newsletter-wrap {\r\n background-color: #000;\r\n padding: 15px;\r\n text-align: center\r\n}\r\n\r\n.follow-newsletter-wrap .follow-newsletter-text {\r\n font-family: 'Futura Today';\r\n cursor: default\r\n}\r\n\r\n.follow-newsletter-wrap .follow-newsletter-email {\r\n border: 0;\r\n border-radius: 10px;\r\n color: #bcbcbc;\r\n font-family: 'Futura Today Bold';\r\n margin: 10px;\r\n padding: 5px 10px;\r\n text-align: left\r\n}\r\n\r\n.follow-newsletter-wrap .follow-newsletter-subscribe {\r\n background-color: #b81800;\r\n border: 0;\r\n color: #fff;\r\n font-family: 'Futura Today';\r\n font-size: .9em;\r\n padding: 5px 15px;\r\n text-align: center;\r\n letter-spacing: .05em\r\n}\r\n\r\n.shfm-heading {\r\n color: #009bff;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 90px;\r\n letter-spacing: 5px;\r\n margin: 0 0 20px;\r\n text-align: center;\r\n text-shadow: 1px 1px 0 #dbdbdb,2px 2px 0 #dbdbdb,3px 3px 0 #dbdbdb,4px 4px 0 #dbdbdb;\r\n text-transform: uppercase\r\n}\r\n\r\n.offscreen .live-feed-button {\r\n display: none\r\n}\r\n\r\n.open-sidebar {\r\n background-image: url(../../images/modules/live-feed/sprite.png);\r\n width: 86px\r\n}\r\n\r\n.livefeed-sidebar-content {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 100%;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.livefeed-news-list {\r\n list-style: none;\r\n list-style-image: none;\r\n margin: 0;\r\n padding: 0;\r\n position: relative\r\n}\r\n\r\n.livefeed-news-item-link {\r\n background-color: #2b2b2b;\r\n border-top: 1px solid #3c3c3c;\r\n border-bottom: 1px solid #0f0f0f;\r\n display: block;\r\n padding: 15px 20px;\r\n overflow: auto;\r\n position: relative;\r\n color: inherit;\r\n -webkit-transition: color,background-color 75ms,75ms;\r\n -moz-transition: color,background-color 75ms,75ms;\r\n -ms-transition: color,background-color 75ms,75ms;\r\n transition: color,background-color 75ms,75ms\r\n}\r\n\r\n.livefeed-news-item-link:hover {\r\n color: #009bff;\r\n background-color: #272727;\r\n border-top-color: transparent;\r\n border-bottom-color: transparent\r\n}\r\n\r\n.live-feed-news-item {\r\n list-style: none;\r\n padding: 0;\r\n text-align: left\r\n}\r\n\r\n.newcontent>.livefeed-news-item-link {\r\n background-color: transparent\r\n}\r\n\r\n.newcontent>.livefeed-news-item-link:hover {\r\n background-color: transparent\r\n}\r\n\r\n.newcontent.top>.livefeed-news-item-link {\r\n border-top-color: rgba(0,0,0,.05)\r\n}\r\n\r\n.live-feed-news-item>.shadow {\r\n height: 0;\r\n left: 0;\r\n overflow: hidden;\r\n position: absolute;\r\n -webkit-transition: height .2s ease-out;\r\n -moz-transition: height .2s ease-out;\r\n -ms-transition: height .2s ease-out;\r\n transition: height .2s ease-out;\r\n width: 100\r\n}\r\n\r\n.live-feed-news-item.newcontent>.shadow {\r\n height: 5px\r\n}\r\n\r\n.live-feed-news-item.top>.shadow {\r\n background: transparent url(../../images/modules/live-feed/newcontentbg-shadowsprite.png) 0 -5px repeat-x;\r\n top: 0\r\n}\r\n\r\n.live-feed-news-item.bottom>.shadow {\r\n background: transparent url(../../images/modules/live-feed/newcontentbg-shadowsprite.png) repeat-x;\r\n bottom: 0\r\n}\r\n\r\n.live-feed-headline {\r\n box-sizing: border-box;\r\n color: #fff;\r\n font-size: 12px;\r\n line-height: 1.4;\r\n margin-top: 7px\r\n}\r\n\r\n.live-feed-hed-wrap {\r\n color: #fff;\r\n bottom: 0;\r\n left: 0;\r\n margin: 7px;\r\n position: absolute;\r\n text-shadow: 0 1px 1px #000;\r\n z-index: 10\r\n}\r\n\r\n.live-feed-wide .live-feed-hed-wrap {\r\n margin: 10px 10px 11px\r\n}\r\n\r\n.live-feed-video-hed-icon,.live-feed-gallery-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n vertical-align: top;\r\n padding: 0 7px 0 0;\r\n font-size: 22px;\r\n line-height: 26px;\r\n color: #fff\r\n}\r\n\r\n.live-feed-wide .live-feed-video-hed-icon,.live-feed-wide .live-feed-gallery-hed-icon {\r\n font-size: 31px;\r\n line-height: 32px;\r\n padding: 0 10px 0 0\r\n}\r\n\r\n.live-feed-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.live-feed-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n.live-feed-headline-video,.live-feed-headline-gallery {\r\n display: table-cell;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n line-height: 16px;\r\n padding: 0;\r\n text-shadow: 0 1px 1px #000;\r\n vertical-align: middle\r\n}\r\n\r\n.live-feed-wide .live-feed-headline-video.live-feed-headline,.live-feed-wide .live-feed-headline-gallery.live-feed-headline {\r\n font-size: 18px;\r\n line-height: 22px\r\n}\r\n\r\n.livefeed-news-item-link:hover .live-feed-headline {\r\n color: #fff\r\n}\r\n\r\n.live-feed-timesince {\r\n display: inline-block;\r\n padding: 0\r\n}\r\n\r\n.newcontent .live-feed-timesince {\r\n width: 70px\r\n}\r\n\r\n.live-feed-layout {\r\n width: 100%;\r\n vertical-align: top;\r\n line-height: 11px;\r\n margin-top: -2px\r\n}\r\n\r\n.live-feed-action {\r\n border-right: 1px solid #858585;\r\n color: #a1a1a1;\r\n display: inline-block;\r\n font-size: 11px;\r\n height: 11px;\r\n margin: 0 5px 0 0;\r\n padding: 0 5px 0 0;\r\n overflow: hidden;\r\n text-overflow: ellipsis;\r\n vertical-align: middle\r\n}\r\n\r\n.live-feed-gallery-icon {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -822px -44px;\r\n display: table-cell;\r\n height: 15px;\r\n width: 22px\r\n}\r\n\r\n.live-feed-timesince-span {\r\n color: #a1a1a1;\r\n display: none;\r\n font-family: Arial,sans-serif;\r\n font-size: 11px;\r\n margin: 0 1px 1px 0;\r\n width: 54px\r\n}\r\n\r\n.live-feed-wide .newcontent .live-feed-humanized {\r\n width: 70px\r\n}\r\n\r\n.newcontent .live-feed-humanized {\r\n display: block;\r\n color: #fff\r\n}\r\n\r\n.newcontent .live-feed-timestamp {\r\n display: none\r\n}\r\n\r\n.live-feed-timestamp {\r\n display: block\r\n}\r\n\r\n.newcontent .livefeed-news-item-link {\r\n background: #2e2e2e;\r\n border-bottom: 1px solid #000;\r\n border-top: 1px solid #454545\r\n}\r\n\r\n.newcontent .livefeed-news-item-link:hover {\r\n background: #1d1d1d\r\n}\r\n\r\n.livefeed-sidebar-content>.shadow {\r\n background: transparent;\r\n left: 0;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.livefeed-sidebar-content>.top-shadow {\r\n box-shadow: 0 -4px 12px 3px rgba(0,0,0,.3);\r\n top: 0\r\n}\r\n\r\n.livefeed-sidebar-content>.bottom-shadow {\r\n bottom: 0;\r\n box-shadow: 0 2px 11px 2px rgba(0,0,0,.3)\r\n}\r\n\r\n.live-feed-video {\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.dropdown .play-btn-l {\r\n bottom: 55px;\r\n right: 29px\r\n}\r\n\r\n.mod .play-btn-l {\r\n bottom: 4px;\r\n right: 4px\r\n}\r\n\r\n.live-feed-video .play-btn-l {\r\n bottom: 19px;\r\n right: 4px\r\n}\r\n\r\n.avathumb .play-btn-s,.thumb .play-btn-s {\r\n bottom: 10px;\r\n position: absolute;\r\n right: 10px\r\n}\r\n\r\n.portraitli .play-btn-s {\r\n bottom: -45px;\r\n margin: 0;\r\n padding: 0;\r\n position: relative;\r\n right: 24px\r\n}\r\n\r\n.live-feed-thumb {\r\n display: none;\r\n float: left\r\n}\r\n\r\n.has-image .live-feed-thumb {\r\n display: block;\r\n height: 60px;\r\n width: 75px;\r\n text-align: left\r\n}\r\n\r\n.live-feed-thumb-img {\r\n height: 60px;\r\n width: 60px\r\n}\r\n\r\n.live-feed-multimedia {\r\n display: block\r\n}\r\n\r\n.live-feed-full-width-image-wrap {\r\n position: relative\r\n}\r\n\r\n.live-feed-news-item.has-image .live-feed-full-width-image-wrap:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.livefeed-news-item-link:hover .live-feed-full-width-image-wrap:before {\r\n background-color: transparent\r\n}\r\n\r\n.live-feed-news-item.has-image .live-feed-full-width-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 50%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.live-feed-wide .has-image .live-feed-layout {\r\n padding: 0;\r\n width: 100%\r\n}\r\n\r\n.has-image .live-feed-headline-article {\r\n margin-left: 75px\r\n}\r\n\r\n.live-feed-wide .live-feed-headline {\r\n font-size: 14px;\r\n line-height: 18px\r\n}\r\n\r\n.has-image .live-feed-multimedia-style .live-feed-layout {\r\n width: 100%\r\n}\r\n\r\n.has-image .live-feed-multimedia-style .live-feed-action {\r\n width: auto\r\n}\r\n\r\n.clearfix-1280 {\r\n clear: both\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .live-feed-headline {\r\n font-size:14px\r\n }\r\n \r\n .live-feed-news-item.has-image .live-feed-headline.live-feed-headline-video,.live-feed-news-item.has-image .live-feed-headline.live-feed-headline-gallery {\r\n font-size: 18px;\r\n line-height: 22px\r\n }\r\n \r\n .has-image .live-feed-layout {\r\n padding: 0;\r\n width: 190px\r\n }\r\n}\r\n\r\n.livefeed-loading {\r\n background: url(../../images/preloaders/windmill-loader_1x_dark.gif) no-repeat scroll 50% 0 transparent;\r\n height: 25px;\r\n margin: 13px 0\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .open-sidebar {\r\n top: 10px\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .lower-sidebar {\r\n top: 210px\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content {\r\n background: #f9f9f9\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .livefeed-news-item-link {\r\n background-color: #f9f9f9;\r\n border-bottom: 1px solid #dcdcdc;\r\n border-top: 1px solid #fff\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .livefeed-news-item-link:hover {\r\n background: #fff;\r\n border-top-color: transparent\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent>.livefeed-news-item-link {\r\n background-color: transparent;\r\n background-color: rgba(249,249,249,0)\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent>.livefeed-news-item-link:hover {\r\n background-color: #959595;\r\n background-color: rgba(249,249,249,.6)\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent.top>.livefeed-news-item-link {\r\n border-top-color: rgba(0,0,0,.05)\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .live-feed-news-item.top>.shadow {\r\n background: transparent url(../../images/modules/live-feed/newcontentbg-shadowsprite.png) 0 -5px repeat-x\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .livefeed-news-item.bottom>.shadow {\r\n background: transparent url(../../images/modules/live-feed/newcontentbg-shadowsprite.png) repeat-x\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .live-feed-headline-article,.sidebar.light .live-feed-headline.article {\r\n color: #333\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .live-feed-gallery-icon {\r\n background: url(../../images/sprites/ui-sprite.png) no-repeat -822px -4px;\r\n margin: 0 0 -4px 4px\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .live-feed-action {\r\n border-right: 1px solid #aaa;\r\n color: #979797\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .live-feed-timesince-span {\r\n color: #979797\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent .live-feed-humanized {\r\n color: #009bff\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent .livefeed-news-item-link {\r\n background-color: transparent;\r\n background-color: rgba(249,249,249,0);\r\n border-bottom: 1px solid #ebebeb;\r\n border-bottom: 1px solid rgba(0,0,0,.1);\r\n border-top: 1px solid #fff;\r\n border-top: 1px solid rgba(255,255,255,1)\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent {\r\n background: transparent url(../../images/modules/live-feed/newcontentbg.png) repeat\r\n}\r\n\r\n.sidebar.light .livefeed-sidebar-content .newcontent .livefeed-news-item-link {\r\n background-color: #eee\r\n}\r\n\r\n.light .livefeed-loading {\r\n background: url(../../images/preloaders/windmill-loader_1x_light.gif) no-repeat scroll 50% 0 transparent\r\n}\r\n\r\n.livefeed-sidebar-title {\r\n text-align: left;\r\n padding-left: 21px\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .livefeed-sidebar-title {\r\n padding-left:0;\r\n text-align: center\r\n }\r\n}\r\n\r\n.partner-asset-right-ad,.poster-scroll-ad {\r\n background: #dedede url(../../images/patterns/paper-noise-ad.png) repeat;\r\n border-radius: 4px;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n margin-bottom: 30px;\r\n padding: 10px;\r\n text-align: center;\r\n width: auto\r\n}\r\n\r\n.common-ad-styling {\r\n background: #dedede url(../../images/patterns/paper-noise-ad.png) repeat;\r\n border-radius: 4px;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n padding: 10px;\r\n text-align: center\r\n}\r\n\r\n.poster-scroll-ad {\r\n overflow: visible\r\n}\r\n\r\n.poster-scroll-ad.hidden {\r\n visibility: hidden\r\n}\r\n\r\n#partner_asset_transition iframe {\r\n display: none\r\n}\r\n\r\n.mediastoryrightrail-bucket>.partner-asset-right-ad {\r\n margin-top: 48px\r\n}\r\n\r\n.ui-video {\r\n background: #000;\r\n cursor: pointer;\r\n position: relative\r\n}\r\n\r\n.ui-video-still-image,.ui-video-play-btn {\r\n cursor: pointer;\r\n display: none;\r\n position: absolute\r\n}\r\n\r\n.ui-video-still-image {\r\n z-index: 2\r\n}\r\n\r\n.ui-video-still-image-active,.ui-video-play-btn-active {\r\n display: block\r\n}\r\n\r\n.ui-video-play-btn {\r\n background: url(../../images/buttons/button-video-play-small.png) no-repeat;\r\n height: 45px;\r\n width: 45px;\r\n z-index: 3\r\n}\r\n\r\n.next-video-data {\r\n padding: 95px 95px 95px 50px\r\n}\r\n\r\n.next-video-thumbnail {\r\n float: left;\r\n margin-right: 30px\r\n}\r\n\r\n.taboola-thumbnail {\r\n border: 5px solid #646464;\r\n height: 215px;\r\n width: 290px\r\n}\r\n\r\n.video-endslate {\r\n background: #2f2f2e;\r\n padding: 0 0 45px 80px;\r\n cursor: pointer;\r\n display: none;\r\n position: absolute;\r\n height: 450px;\r\n width: 800px\r\n}\r\n\r\n.video-endslate-active {\r\n display: block;\r\n z-index: 120\r\n}\r\n\r\n.video-endslate .counter {\r\n color: #646464;\r\n font-size: 50px\r\n}\r\n\r\n.video-endslate .front-title {\r\n color: #fff\r\n}\r\n\r\n.video-endslate .video-up-next {\r\n color: #009bff;\r\n margin: 0\r\n}\r\n\r\n.brightcove-video-object {\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n z-index: 1\r\n}\r\n\r\n.active-transcript {\r\n color: #009bff\r\n}\r\n\r\n.hidetranscript,.searchcontroloff {\r\n display: none\r\n}\r\n\r\ninput.video-search-btn {\r\n display: none\r\n}\r\n\r\n.keywords-viewport,.search-viewport {\r\n width: 50%;\r\n height: 20px;\r\n position: absolute;\r\n overflow: hidden\r\n}\r\n\r\n.lt-ie9 .video-search-form-input {\r\n position: relative;\r\n z-index: -1\r\n}\r\n\r\n.story-asset .keywords-viewport,.story-asset .search-viewport {\r\n width: 35%\r\n}\r\n\r\n.story-asset .ui-video-controls {\r\n margin-top: 0;\r\n height: 100%\r\n}\r\n\r\n.story-asset .video-full-transcript,.story-asset .video-full-transcript-control {\r\n margin-left: 0\r\n}\r\n\r\n.story-asset .video-transcript-keywords,.story-asset .video-transcript-search {\r\n margin-left: 205px\r\n}\r\n\r\n.transcript-search-result {\r\n cursor: pointer\r\n}\r\n\r\n.transcript-scroll-fade-left {\r\n background: url(../../images/components/utility-btns.png) -666px -218px;\r\n width: 14px;\r\n height: 20px;\r\n position: absolute;\r\n left: 382px;\r\n margin-top: -17px\r\n}\r\n\r\n.transcript-scroll-fade-right {\r\n background: url(../../images/components/utility-btns.png) -648px -278px;\r\n width: 30px;\r\n height: 20px;\r\n position: absolute;\r\n right: 66px;\r\n margin-top: -17px\r\n}\r\n\r\n.video-full-transcript-button {\r\n color: #009bff;\r\n content: '';\r\n display: inline-block;\r\n font: 11px/18px Arial;\r\n font-weight: 700;\r\n line-height: 14px;\r\n cursor: pointer\r\n}\r\n\r\n.video-transcript-disclaimer {\r\n font: 11px/18px Arial;\r\n font-style: italic;\r\n padding-bottom: 5px\r\n}\r\n\r\n.video-full-transcript-button:after {\r\n content: '';\r\n background: url(../../images/components/utility-btns.png) no-repeat -565px -258px;\r\n height: 15px;\r\n width: 15px;\r\n position: absolute\r\n}\r\n\r\n.video-full-transcript-control {\r\n border-bottom: 1px solid #e6e6e6;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.video-full-transcript .video-full-transcript-text {\r\n color: #333;\r\n font: 11px/18px Arial;\r\n height: 100px;\r\n overflow-y: scroll\r\n}\r\n\r\n.video-keywords-result {\r\n position: absolute;\r\n height: 20px;\r\n white-space: nowrap\r\n}\r\n\r\n.video-search-box {\r\n position: relative;\r\n float: left;\r\n margin-right: 10px\r\n}\r\n\r\n.video-search-btn-div {\r\n cursor: pointer;\r\n height: 30px;\r\n position: absolute;\r\n right: 0;\r\n top: 0;\r\n width: auto\r\n}\r\n\r\n.video-search-btn-div:hover:after {\r\n opacity: 1\r\n}\r\n\r\n.video-search-close-btn {\r\n cursor: pointer;\r\n display: none;\r\n height: 40px;\r\n margin: 0 -10px 0 5px;\r\n overflow: hidden;\r\n padding: 0 10px;\r\n vertical-align: top\r\n}\r\n\r\n.video-search-close-btn:after {\r\n background: url(../../images/components/utility-btns.png) -601px -218px;\r\n content: '';\r\n display: inline-block;\r\n height: 21px;\r\n opacity: .6;\r\n position: relative;\r\n top: 10px;\r\n transition: opacity .15s;\r\n width: 21px\r\n}\r\n\r\n.video-search-close-btn-visible {\r\n display: inline-block;\r\n float: right;\r\n margin-top: -35px\r\n}\r\n\r\n.video-search-close-btn:hover:after {\r\n opacity: 1\r\n}\r\n\r\n.video-search-display,.video-transcript-search,.video-transcript-searchresult,.video-transcript-keywordresult,.transcript-search-result {\r\n color: #009bff;\r\n font: 11px/18px Arial;\r\n padding: 0 15px\r\n}\r\n\r\n.video-search-input-wrap:before {\r\n content: '';\r\n background: url(../../images/components/utility-btns.png) no-repeat -274px -69px;\r\n display: none;\r\n left: 9px;\r\n position: relative;\r\n padding: 0;\r\n top: 8px;\r\n width: 40px;\r\n height: 30px\r\n}\r\n\r\n.video-search-input>.ui-text-input-clear-btn {\r\n background: url(../../images/components/utility-btns.png) no-repeat -277px -115px transparent;\r\n display: none;\r\n height: 20px;\r\n overflow: hidden;\r\n position: relative;\r\n right: 10px;\r\n top: 10px;\r\n width: 21px;\r\n z-index: 6\r\n}\r\n\r\n.video-search-input::-ms-clear {\r\n display: none\r\n}\r\n\r\n.video-search-open-button {\r\n background: url(../../images/components/utility-btns.png) -455px -218px;\r\n content: '';\r\n display: block;\r\n height: 25px;\r\n margin: 7px 0 0 12px;\r\n opacity: .6;\r\n transition: opacity .15s;\r\n width: 28px\r\n}\r\n\r\n.video-search-result {\r\n overflow: hidden;\r\n position: absolute\r\n}\r\n\r\n.video-search-term {\r\n color: #333;\r\n font-weight: 700\r\n}\r\n\r\n.video-transcript-control-wrapper {\r\n padding-bottom: 20px;\r\n margin-bottom: 10px;\r\n height: 20px\r\n}\r\n\r\n.video-transcript-display {\r\n display: table-cell\r\n}\r\n\r\n.video-transcript-icon {\r\n background: url(../../images/components/utility-btns.png) -503px -220px;\r\n content: '';\r\n display: inline-block;\r\n height: 9px;\r\n opacity: .6;\r\n transition: opacity .15s;\r\n width: 15px\r\n}\r\n\r\n.video-transcript-keywords,.video-transcript-search {\r\n margin-left: 215px;\r\n padding-left: 10px;\r\n border-left: 2px solid #e6e6e6;\r\n color: #333;\r\n font: 11px/18px Arial\r\n}\r\n\r\n.video-transcript-navigate-buttons {\r\n float: right;\r\n height: 20px;\r\n width: 60px;\r\n margin: -15px 0;\r\n position: relative;\r\n display: block\r\n}\r\n\r\n.video-transcript-navigate-next {\r\n position: absolute;\r\n display: inline-block;\r\n background: url(../../images/components/utility-btns.png) -566px -217px;\r\n height: 20px;\r\n width: 20px;\r\n margin-left: 40px\r\n}\r\n\r\n.transcript-navigate-next-active {\r\n background: url(../../images/components/utility-btns.png) -566px -237px;\r\n cursor: pointer\r\n}\r\n\r\n.video-transcript-navigate-prev {\r\n position: absolute;\r\n display: inline-block;\r\n background: url(../../images/components/utility-btns.png) -534px -217px;\r\n height: 20px;\r\n width: 20px;\r\n margin-left: 20px\r\n}\r\n\r\n.transcript-navigate-prev-active {\r\n background: url(../../images/components/utility-btns.png) -534px -237px;\r\n cursor: pointer\r\n}\r\n\r\n.video-transcript-title {\r\n display: block\r\n}\r\n\r\n.interactive {\r\n margin: 0 auto 30px 0;\r\n overflow: visible!important;\r\n position: relative;\r\n width: 920px;\r\n z-index: 0\r\n}\r\n\r\n.secondary-interactives-module.storybottombar-bucket {\r\n clear: both\r\n}\r\n\r\n.interactive>.interactive-wrap-shadow {\r\n box-shadow: 0 0 4px 3px rgba(0,0,0,.05);\r\n margin-bottom: 10px\r\n}\r\n\r\n.interactive-sponsor {\r\n color: #999;\r\n float: right;\r\n font-size: 11px;\r\n padding-left: 15px;\r\n text-align: center;\r\n width: 100px\r\n}\r\n\r\n.interactive-sponsor {\r\n color: #999;\r\n float: right;\r\n max-width: 180px;\r\n font-size: 11px;\r\n padding-left: 15px;\r\n text-align: center;\r\n width: 100px\r\n}\r\n\r\n.interactive-wrap .interactive-sponsor .sponsored-by-text {\r\n line-height: 11px;\r\n margin-top: 7px\r\n}\r\n\r\n.interactive-header-wrapper {\r\n display: table\r\n}\r\n\r\n.interactive-header-wrapper-column {\r\n display: table-cell;\r\n vertical-align: top;\r\n width: 90%\r\n}\r\n\r\n.header.interactive-header-wrapper {\r\n width: 888px\r\n}\r\n\r\n.asset .interactive {\r\n margin-top: 15px;\r\n margin-left: 50px\r\n}\r\n\r\n.interactive .header {\r\n background: #f8f8f9;\r\n border-top: 10px solid #009bff;\r\n padding: 10px 15px\r\n}\r\n\r\n.interactive .header .title {\r\n color: #000;\r\n font-family: 'Futura Today Bold',Arial,sans-serif;\r\n font-size: 20px;\r\n letter-spacing: .04em;\r\n margin: 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.interactive .header .chatter {\r\n color: #666;\r\n font-size: 12px;\r\n line-height: normal;\r\n margin: 5px 0 0\r\n}\r\n\r\n.top .chatter {\r\n color: #999;\r\n float: left;\r\n font: 700 12px/18px arial,sans-serif;\r\n width: 560px\r\n}\r\n\r\n.interactive .viewport {\r\n background: #fff;\r\n box-shadow: 0 -3px 3px 0 rgba(0,0,0,.06);\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n display: block!important;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.interactive .slides {\r\n display: block;\r\n height: 100%;\r\n list-style: none;\r\n margin: 0;\r\n padding: 0;\r\n position: absolute;\r\n width: auto\r\n}\r\n\r\n.interactive .stage {\r\n list-style: none;\r\n position: relative\r\n}\r\n\r\n.interactive .stage .slide {\r\n display: none;\r\n left: 0;\r\n margin: 0;\r\n padding: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 920px\r\n}\r\n\r\n.interactive .stage .slide.active {\r\n display: block\r\n}\r\n\r\n.interactive .viewport.fade .stage .slide {\r\n -ms-filter: \"alpha(Opacity=0)\";\r\n display: block;\r\n float: none;\r\n left: 0;\r\n opacity: 0;\r\n position: absolute;\r\n top: 0;\r\n z-index: 1\r\n}\r\n\r\n.interactive .viewport.fade .stage .slide.active {\r\n -ms-filter: \"alpha(Opacity=100)\";\r\n display: block;\r\n opacity: 1;\r\n z-index: 2\r\n}\r\n\r\n.interactive .viewport.slide .stage {\r\n overflow: hidden;\r\n white-space: nowrap\r\n}\r\n\r\n.interactive .viewport.slide .stage .slide {\r\n display: inline-block;\r\n opacity: 1;\r\n position: relative;\r\n vertical-align: top;\r\n visibility: visible;\r\n white-space: normal\r\n}\r\n\r\n.interactive .viewport.slide .stage .slide.active {\r\n display: inline-block!important\r\n}\r\n\r\n.interactive .overview-content a {\r\n color: #1990e5\r\n}\r\n\r\n.interactive .overview-content a:hover {\r\n text-decoration: underline\r\n}\r\n\r\n.interactive .overview-content .image {\r\n display: block;\r\n float: left;\r\n position: relative\r\n}\r\n\r\n.interactive .overview-content .image img {\r\n display: block\r\n}\r\n\r\n.interactive .overview-content .date {\r\n color: #1aa5ff;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 12px;\r\n line-height: 16px;\r\n margin: 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.interactive .overview-content .title {\r\n color: #333;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 18px;\r\n line-height: 23px;\r\n margin: 0 0 15px;\r\n text-transform: uppercase;\r\n margin-bottom: 15px\r\n}\r\n\r\n.interactive .overview-content .text {\r\n font-style: normal!important;\r\n text-align: left\r\n}\r\n\r\n.interactive .overview-content .text div {\r\n text-align: left\r\n}\r\n\r\n.interactive .viewport .slide .meta {\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n background: url(data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiA/Pgo8c3ZnIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIgd2lkdGg9IjEwMCUiIGhlaWdodD0iMTAwJSIgdmlld0JveD0iMCAwIDEgMSIgcHJlc2VydmVBc3BlY3RSYXRpbz0ibm9uZSI+CiAgPGxpbmVhckdyYWRpZW50IGlkPSJncmFkLXVjZ2ctZ2VuZXJhdGVkIiBncmFkaWVudFVuaXRzPSJ1c2VyU3BhY2VPblVzZSIgeDE9IjAlIiB5MT0iMCUiIHgyPSIwJSIgeTI9IjEwMCUiPgogICAgPHN0b3Agb2Zmc2V0PSIwJSIgc3RvcC1jb2xvcj0iI2ZmZmZmZiIgc3RvcC1vcGFjaXR5PSIwLjEiLz4KICAgIDxzdG9wIG9mZnNldD0iMTglIiBzdG9wLWNvbG9yPSIjZmZmZmZmIiBzdG9wLW9wYWNpdHk9IjAiLz4KICAgIDxzdG9wIG9mZnNldD0iMTAwJSIgc3RvcC1jb2xvcj0iI2ZmZmZmZiIgc3RvcC1vcGFjaXR5PSIwIi8+CiAgPC9saW5lYXJHcmFkaWVudD4KICA8cmVjdCB4PSIwIiB5PSIwIiB3aWR0aD0iMSIgaGVpZ2h0PSIxIiBmaWxsPSJ1cmwoI2dyYWQtdWNnZy1nZW5lcmF0ZWQpIiAvPgo8L3N2Zz4=);\r\n background: -moz-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(255,255,255,.1)),color-stop(18%,rgba(255,255,255,0)),color-stop(100%,rgba(255,255,255,0)));\r\n background: -webkit-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -o-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: -ms-linear-gradient(top,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background: linear-gradient(to bottom,rgba(255,255,255,.1) 0,rgba(255,255,255,0) 18%,rgba(255,255,255,0) 100%);\r\n background-color: #4d4d4d;\r\n background-color: rgba(0,0,0,.7);\r\n border-top: 1px solid #020202;\r\n bottom: 0;\r\n left: 0;\r\n padding: 10px;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 21\r\n}\r\n\r\n.interactive .viewport .slide .meta .caption {\r\n color: #fff;\r\n float: none;\r\n font-family: Arial;\r\n font-size: 12px;\r\n line-height: 18px;\r\n text-align: left;\r\n vertical-align: middle;\r\n width: 80%\r\n}\r\n\r\n.interactive .viewport .slide .meta .source {\r\n color: #999;\r\n font-size: 11px;\r\n padding-left: 5px\r\n}\r\n\r\n.interactive .viewport .slide .meta .toolbox {\r\n position: absolute;\r\n top: 10px;\r\n right: 10px\r\n}\r\n\r\n.interactive .viewport .slide .meta .toolbox a {\r\n border: 1px solid #ebebeb;\r\n border: 1px solid rgba(255,255,255,.1);\r\n border-radius: 3px;\r\n color: #999;\r\n cursor: pointer;\r\n display: block;\r\n float: left;\r\n font: 700 11px/18px;\r\n height: 21px;\r\n margin: 0 5px\r\n}\r\n\r\n.interactive .slide ul {\r\n margin: 0;\r\n padding-left: 0\r\n}\r\n\r\n.interactive .slide .slides ul {\r\n list-style-type: square;\r\n margin-left: 10px;\r\n padding-left: 10px\r\n}\r\n\r\n.interactive .slide .slides ol {\r\n margin-left: 10px;\r\n padding-left: 10px\r\n}\r\n\r\n.interactive .slide .slides ol {\r\n margin-bottom: 10px\r\n}\r\n\r\n.interactive .interactive-credits .source,.interactive .interactive-credits .credit {\r\n color: #999!important;\r\n font-family: Arial,Helvetica,sans-serif;\r\n font-size: 11px;\r\n margin-left: 8px;\r\n text-align: left\r\n}\r\n\r\n.interactive .interactive-credits .source {\r\n color: #999!important\r\n}\r\n\r\n.interactive .slide-nav {\r\n background-image: url(../../images/sprites/interactive_stories_sprite.png);\r\n display: block;\r\n float: none;\r\n height: 68px;\r\n left: auto;\r\n opacity: 1;\r\n position: absolute;\r\n right: auto;\r\n top: 50%;\r\n width: 44px;\r\n z-index: 99\r\n}\r\n\r\n.asset>.standalone-story-interactive {\r\n min-height: 800px;\r\n margin-left: 50px\r\n}\r\n\r\n.asset .standalone-story-interactive-header {\r\n padding: 20px 0 0\r\n}\r\n\r\n.interactive .slide-nav.prev {\r\n background-position: 0 0;\r\n left: -7px\r\n}\r\n\r\n.interactive .slide-nav.next {\r\n background-position: -44px 0;\r\n right: -7px\r\n}\r\n\r\n.no-touch .asset .interactive .slide-nav.prev:hover {\r\n background-position: 0 -68px\r\n}\r\n\r\n.no-touch .asset .interactive .slide-nav.next:hover {\r\n background-position: -44px -68px\r\n}\r\n\r\nbody.interactive {\r\n margin: 0 auto;\r\n min-width: 920px;\r\n overflow: hidden\r\n}\r\n\r\nbody.interactive.chart {\r\n min-width: 640px;\r\n width: 640px\r\n}\r\n\r\n.asset.standalone {\r\n margin: 0 auto;\r\n padding: 0;\r\n width: 920px\r\n}\r\n\r\n.asset.standalone.chart {\r\n width: 640px\r\n}\r\n\r\n.asset.standalone>.interactive {\r\n margin: 0\r\n}\r\n\r\n.asset.standalone>.embed {\r\n font-size: 14px;\r\n max-width: 920px;\r\n padding: 20px 0\r\n}\r\n\r\n.asset.standalone .embedCode {\r\n border: solid 1px #d5d5d5;\r\n font: 13px/14px monospace;\r\n height: 44px;\r\n overflow: hidden;\r\n padding: 5px;\r\n width: 620px\r\n}\r\n\r\n.interactive #rotate-overlay {\r\n display: none\r\n}\r\n\r\n@media only screen and (min-device-width : 768px) and (max-device-width :1024px) and (orientation :portrait) {\r\n body.interactive {\r\n height:1024px;\r\n width: auto\r\n }\r\n \r\n .interactive #rotate-overlay {\r\n background: rgba(0,0,0,.75) url(../../images/interactives/ipad-rotate2x.png) no-repeat center center;\r\n background-size: 226px 274px;\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 930px;\r\n z-index: 10000\r\n }\r\n \r\n .interactive .rotate-overlay-modal {\r\n height: 230px;\r\n line-height: 1.6em;\r\n margin-top: 200px;\r\n padding: 20px;\r\n text-align: center\r\n }\r\n \r\n .interactive .rotate-overlay-modal h3 {\r\n color: #fff;\r\n font-size: 46px;\r\n font-weight: 700;\r\n line-height: 1.4em;\r\n margin: 80px 40px 40px\r\n }\r\n \r\n .interactive .rotate-overlay-modal p {\r\n margin-bottom: 17px\r\n }\r\n}\r\n\r\n.chart #rotate-overlay {\r\n display: none\r\n}\r\n\r\n.interactive .video {\r\n position: relative\r\n}\r\n\r\n.interactive .video .videoObject {\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n z-index: 3\r\n}\r\n\r\n.interactive .video .videoStillPlay {\r\n background-image: url(../../images/buttons/button_video_play.png);\r\n background-position: 0 -2px;\r\n cursor: pointer;\r\n height: 91px;\r\n left: 50%;\r\n margin: -46px 0 0 -46px;\r\n position: absolute;\r\n top: 50%;\r\n visibility: visible;\r\n width: 93px;\r\n z-index: 2\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .tickLabels .xAxis.x1Axis .tickLabel,.chart-wrapper.interactive.bar-chart .tickLabels .yAxis.y1Axis .tickLabel {\r\n text-transform: none\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart ul.row-1 {\r\n width: auto;\r\n margin-right: 20px\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart ul.row-1 li {\r\n float: left;\r\n margin-left: 10px;\r\n margin-right: 15px;\r\n white-space: nowrap\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .graph {\r\n width: 92%;\r\n height: 300px;\r\n margin: 0 3% 0 5%\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart {\r\n width: 100%\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .chart-content {\r\n width: 100%\r\n}\r\n\r\n.bar-chart .interactive-header-wrapper {\r\n height: auto\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .chart-content .chatter {\r\n max-height: 54px;\r\n overflow: hidden\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .xAxisLabel {\r\n color: #999\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .chart-content h6.source {\r\n position: relative;\r\n padding-top: 7px\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .chart-area {\r\n background: #fff;\r\n position: relative;\r\n margin: 0 auto;\r\n width: 100%\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .tickLabels .xAxis.x1Axis .tickLabel {\r\n margin-top: 2px;\r\n color: #666\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .tickLabels .yAxis.y1Axis .tickLabel {\r\n font-size: 12px;\r\n line-height: 14px;\r\n margin-top: 0;\r\n color: #999\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .yAxis .tickLabel {\r\n max-width: 20%\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .hiddenLabels {\r\n font-size: 10px;\r\n position: absolute;\r\n visibility: hidden;\r\n white-space: nowrap\r\n}\r\n\r\n.bar-chart .chart-area-footer {\r\n bottom: 0;\r\n color: #999;\r\n font-size: 16px;\r\n font-weight: 400;\r\n left: 0;\r\n margin: 6px 10px 10px 15px;\r\n position: relative\r\n}\r\n\r\n.bar-chart .chart-area-footer .source,.bar-chart .chart-area-footer .credit,.bar-chart .chart-area-footer .footnotes {\r\n bottom: 0;\r\n color: #999;\r\n font-size: 10px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.bar-chart .chart-area-footer .footnotes {\r\n max-height: 30px;\r\n overflow: hidden\r\n}\r\n\r\n.chart-wrapper.interactive.bar-chart .xAxis,.chart-wrapper.interactive.bar-chart .yAxis {\r\n color: #666;\r\n font-size: 12px;\r\n text-transform: none\r\n}\r\n\r\n.video-carousel-viewport {\r\n font-family: Arial,sans-serif;\r\n overflow: hidden;\r\n position: relative;\r\n z-index: 0\r\n}\r\n\r\n.video-carousel-series {\r\n margin: 0;\r\n position: relative;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.video-carousel-item-wrap {\r\n display: table-cell\r\n}\r\n\r\n.video-carousel-item {\r\n display: block;\r\n overflow: hidden\r\n}\r\n\r\n.carousel-nav {\r\n cursor: pointer;\r\n display: none;\r\n float: left;\r\n left: 0;\r\n overflow: hidden;\r\n position: relative;\r\n text-indent: -99999px;\r\n z-index: 100\r\n}\r\n\r\n.video-carousel-wrap>.carousel-nav {\r\n -moz-transition: opacity .2s ease-in-out;\r\n -o-transition: opacity .2s ease-in-out;\r\n transition: opacity .2s ease-in-out;\r\n z-index: 1\r\n}\r\n\r\n.carousel-nav.carousel-nav-active {\r\n display: block\r\n}\r\n\r\n.carousel-next {\r\n clear: none;\r\n float: right;\r\n right: 0\r\n}\r\n\r\n.carousel-prev {\r\n display: none\r\n}\r\n\r\n.video-carousel-title {\r\n display: block\r\n}\r\n\r\n.video-carousel-description {\r\n position: relative\r\n}\r\n\r\n.video-carousel-item .see-more-link {\r\n bottom: 0;\r\n color: #009bff;\r\n font-size: 12px;\r\n position: absolute;\r\n right: 0\r\n}\r\n\r\n.carousel-counter {\r\n color: #666;\r\n font-size: 13px;\r\n position: absolute\r\n}\r\n\r\n.card-primary .video-carousel-ellipsis {\r\n height: 239px;\r\n overflow: hidden;\r\n position: relative\r\n}\r\n\r\n.card-primary .video-carousel-ellipsis:before {\r\n content: \"\";\r\n float: left;\r\n height: 229px;\r\n width: 5px\r\n}\r\n\r\n.card-primary .video-carousel-ellipsis>.ellipsis-data-grouped:first-child {\r\n float: right;\r\n margin-left: -5px;\r\n width: 100%\r\n}\r\n\r\n.card-primary .video-carousel-ellipsis:after {\r\n background: -webkit-gradient(linear,left top,right top,from(rgba(235,235,235,0)),to(rgba(235,235,235,0)),color-stop(15%,rgba(235,235,235,0)));\r\n background: -moz-linear-gradient(to right,rgba(235,235,235,0),rgba(235,235,235,0) 15%,rgba(235,235,235,0));\r\n background: -o-linear-gradient(to right,rgba(235,235,235,0),rgba(235,235,235,0) 15%,rgba(235,235,235,0));\r\n background: -ms-linear-gradient(to right,rgba(235,235,235,0),rgba(235,235,235,0) 15%,rgba(235,235,235,0));\r\n background: linear-gradient(to right,rgba(235,235,235,0),rgba(235,235,235,0) 15%,rgba(235,235,235,0));\r\n box-sizing: content-box;\r\n content: \" ...\";\r\n float: right;\r\n left: 100%;\r\n margin-left: -7em;\r\n -moz-box-sizing: content-box;\r\n padding-right: 5px;\r\n position: relative;\r\n text-align: right;\r\n top: -8px;\r\n -webkit-box-sizing: content-box;\r\n width: 7em\r\n}\r\n\r\n.card-primary>.video-carousel-wrap {\r\n color: #333;\r\n height: 300px;\r\n overflow: hidden\r\n}\r\n\r\n.card-primary .video-carousel-viewport {\r\n height: 268px;\r\n margin-top: 21px;\r\n width: 720px\r\n}\r\n\r\n.card-primary .video-carousel-series {\r\n height: 268px\r\n}\r\n\r\n.card-primary .video-carousel-item-wrap {\r\n padding: 0 25px 0 15px\r\n}\r\n\r\n.card-primary .video-carousel-item {\r\n height: 268px;\r\n width: 680px\r\n}\r\n\r\n.card-primary .carousel-nav {\r\n background: url(../../images/sprites/front-arrows.png) no-repeat;\r\n height: 58px;\r\n margin-bottom: -58px;\r\n top: -176px;\r\n width: 29px\r\n}\r\n\r\n.card-primary .carousel-next {\r\n background-position: -30px 0\r\n}\r\n\r\n.card-primary .carousel-next:hover {\r\n background-position: -30px -60px\r\n}\r\n\r\n.card-primary .carousel-prev {\r\n background-position: 0 0\r\n}\r\n\r\n.card-primary .carousel-prev:hover {\r\n background-position: 0 -60px\r\n}\r\n\r\n.card-primary .video-carousel-player {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2);\r\n height: 256px;\r\n float: left;\r\n overflow: hidden;\r\n width: 455px\r\n}\r\n\r\n.card-primary .video-carousel-description {\r\n float: left;\r\n font-family: Arial,sans-serif;\r\n font-size: 12px;\r\n height: 260px;\r\n line-height: 17px;\r\n margin-left: 16px;\r\n text-overflow: ellipsis;\r\n width: 200px\r\n}\r\n\r\n.card-primary .video-carousel-title {\r\n color: #333;\r\n font-family: 'Futura Today Light',Arial,sans-serif;\r\n font-size: 22px;\r\n font-weight: 400;\r\n line-height: 24px;\r\n margin-bottom: 10px;\r\n margin-top: -4px\r\n}\r\n\r\n.card-primary .carousel-counter {\r\n bottom: 0;\r\n left: 0\r\n}\r\n\r\n.card-primary .video-carousel-player .video {\r\n cursor: pointer;\r\n position: relative;\r\n width: 100%;\r\n height: 100%\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav {\r\n display: inline;\r\n background: 0;\r\n overflow: hidden\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav:before {\r\n background: rgba(0,0,0,.7);\r\n border-radius: 58px;\r\n content: '';\r\n height: 58px;\r\n position: absolute;\r\n top: 0;\r\n transition: background-color .15s ease-out;\r\n width: 58px\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav.carousel-next:before {\r\n left: 0\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav.carousel-prev:before {\r\n left: -29px\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav:hover:before {\r\n background: rgba(0,0,0,.8)\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav:after {\r\n color: #fff;\r\n display: block;\r\n font-family: 'Gannett Icons';\r\n font-size: 20px;\r\n line-height: 40px;\r\n position: absolute;\r\n top: 8px;\r\n text-indent: 0\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav.carousel-next:after {\r\n content: '>';\r\n left: 8px\r\n}\r\n\r\n.video-carousel-card-module .carousel-nav.carousel-prev:after {\r\n content: '<';\r\n left: 1px\r\n}\r\n\r\n.video-carousel-card-module .videoStillPlay {\r\n background: 0;\r\n cursor: pointer;\r\n visibility: visible;\r\n font-size: 50px;\r\n color: #fff;\r\n top: auto;\r\n bottom: 0;\r\n left: 60px;\r\n width: auto;\r\n height: auto;\r\n z-index: 5000;\r\n line-height: 60px\r\n}\r\n\r\n.video-carousel-card-module .videoStillPlay:before {\r\n content: 'v';\r\n font-family: 'Gannett Icons';\r\n text-shadow: 0 1px 1px rgba(0,0,0,.4)\r\n}\r\n\r\n.wide-story-series-flyout-bucket {\r\n bottom: 100px;\r\n position: fixed;\r\n right: 5px;\r\n width: 60px;\r\n z-index: 10001\r\n}\r\n\r\n.wide-story-series-flyout-bucket:hover,.wide-story-series-flyout-bucket:hover .wide-story-series-flyout-bucket-icon {\r\n background-position: -418px -152px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-series-flyout-bucket-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -418px -114px;\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-series-flyout-bucket.active .wide-story-series-flyout-bucket-icon,.wide-story-series-flyout-bucket.active:hover .wide-story-series-flyout-bucket-icon {\r\n background-position: 38px -76px\r\n}\r\n\r\n.wide-story-series-flyout-bucket.open .wide-story-series-flyout-bucket-text,.wide-story-series-flyout-bucket.active .wide-story-series-flyout-bucket-text {\r\n color: #fff\r\n}\r\n\r\n.wide-story-series-flyout-bucket.open .wide-story-series-flyout-bucket-icon {\r\n background-position: -418px 0\r\n}\r\n\r\n.wide-story-series-flyout-bucket.open:hover .wide-story-series-flyout-bucket-icon {\r\n background-position: -418px -38px\r\n}\r\n\r\n.wide-story-series-flyout-bucket-text {\r\n color: #333;\r\n font: 700 8px 'futura today',Arial,sans-serif;\r\n margin-top: 10px;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.wide-story-series-flyout {\r\n background-color: #000;\r\n box-shadow: -2px 0 2px rgba(0,0,0,.2);\r\n height: 100%;\r\n overflow: hidden;\r\n position: fixed;\r\n right: -300px;\r\n top: 0;\r\n width: 290px;\r\n z-index: 10000\r\n}\r\n\r\n.wide-story-series-flyout-close {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -304px -38px;\r\n bottom: 30px;\r\n height: 38px;\r\n left: 16px;\r\n position: absolute;\r\n width: 38px\r\n}\r\n\r\n.wide-story-series-item-external-link,.wide-story-series-item-external-link:visited {\r\n color: #fff\r\n}\r\n\r\n.wide-story-series-item:hover {\r\n background-color: #666;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-series-item:hover .wide-story-series-item-bg {\r\n display: block\r\n}\r\n\r\n.wide-story-series-item-title {\r\n font: 400 16px/1.2 'futura today',Arial,sans-serif;\r\n margin: 0 40px;\r\n padding-top: 15px;\r\n position: relative;\r\n z-index: 10015\r\n}\r\n\r\n.wide-story-series-up,.wide-story-series-down {\r\n height: 38px;\r\n width: 280px\r\n}\r\n\r\n.wide-story-series-up {\r\n opacity: .5\r\n}\r\n\r\n.wide-story-series-up:hover,.wide-story-series-down:hover {\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-series-up-icon,.wide-story-series-down-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-series-up-icon {\r\n background-position: -342px -38px\r\n}\r\n\r\n.wide-story-series-down-icon {\r\n background-position: -342px 0\r\n}\r\n\r\n.wide-story-series-item {\r\n color: #fff;\r\n overflow: hidden;\r\n position: relative;\r\n text-align: right;\r\n width: 280px\r\n}\r\n\r\n.series-current-item-count {\r\n font-size: 12px;\r\n margin: 10px 40px;\r\n padding-top: 10px;\r\n position: relative;\r\n z-index: 10015\r\n}\r\n\r\n.series-counter-image {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -76px -227px;\r\n float: right;\r\n height: 12px;\r\n margin: 0 auto;\r\n padding: 35px 8px 0 0;\r\n position: relative;\r\n top: 2px;\r\n width: 9px\r\n}\r\n\r\n.series-counter-digits {\r\n float: right\r\n}\r\n\r\n.wide-story-series-wrapper {\r\n border-bottom: 1px #292929 solid;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0\r\n}\r\n\r\n.wide-story-series-item-wrap {\r\n overflow-x: hidden;\r\n overflow-y: hidden;\r\n position: relative\r\n}\r\n\r\n.wide-story-series-grad-top,.wide-story-series-grad-bot {\r\n height: 130px;\r\n left: 0;\r\n position: absolute;\r\n width: 280px;\r\n z-index: 10016\r\n}\r\n\r\n.wide-story-series-grad-top {\r\n background: url(../../images/bg-gradient-black-trans.png) top left repeat-x;\r\n display: none;\r\n pointer-events: none;\r\n top: 0\r\n}\r\n\r\n.wide-story-series-grad-bot {\r\n background: url(../../images/bg-gradient-trans-black.png) bottom left repeat-x;\r\n bottom: 0;\r\n pointer-events: none\r\n}\r\n\r\n.wide-story-series-overflow-wrap {\r\n position: absolute\r\n}\r\n\r\n.wide-story-series-item-bg {\r\n background-position: center center;\r\n background-repeat: no-repeat;\r\n background-size: cover;\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n filter: blur(5px);\r\n -webkit-filter: blur(5px);\r\n -moz-filter: blur(5px);\r\n -o-filter: blur(5px);\r\n -ms-filter: blur(5px);\r\n transform: scale(1.2);\r\n -ms-transform: scale(1.2);\r\n -webkit-transform: scale(1.2)\r\n}\r\n\r\n.suspender-ad {\r\n padding: 10px 0 0 10px\r\n}\r\n\r\n.wide-story-share {\r\n bottom: 30px;\r\n position: fixed;\r\n right: 5px;\r\n width: 60px;\r\n z-index: 10001\r\n}\r\n\r\n.wide-story-share:hover,.wide-story-share:hover .wide-story-share-icon {\r\n background-position: -380px -152px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-share-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -380px -114px;\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-share.active .wide-story-share-icon,.wide-story-share.active:hover .wide-story-share-icon {\r\n background-position: -380px -76px\r\n}\r\n\r\n.wide-story-share.open .wide-story-share-text,.wide-story-share.active .wide-story-share-text {\r\n color: #fff\r\n}\r\n\r\n.wide-story-share.open .wide-story-share-icon {\r\n background-position: -380px 0\r\n}\r\n\r\n.wide-story-share.open:hover .wide-story-share-icon {\r\n background-position: -380px -38px\r\n}\r\n\r\n.wide-story-share-text {\r\n color: #333;\r\n font: 700 8px 'futura today',Arial,sans-serif;\r\n margin-top: 10px;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.wide-story-share-flyout {\r\n background-color: #000;\r\n box-shadow: -2px 0 2px rgba(0,0,0,.2);\r\n height: 100%;\r\n overflow: hidden;\r\n position: fixed;\r\n right: -90px;\r\n top: 0;\r\n width: 80px;\r\n z-index: 10000\r\n}\r\n\r\n.wide-story-share-flyout-close {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -304px -38px;\r\n bottom: 30px;\r\n height: 38px;\r\n left: 16px;\r\n position: absolute;\r\n width: 38px\r\n}\r\n\r\n.wide-story-share-flyout-close:hover {\r\n background-position: -304px -76px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrapper {\r\n bottom: 250px;\r\n position: absolute\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap {\r\n height: 54px;\r\n padding-top: 16px;\r\n width: 70px\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap:hover {\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-fb:hover {\r\n background-color: #3b5998\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-twitter:hover {\r\n background-color: #00aced\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-gplus:hover {\r\n background-color: #dd4b39\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-email:hover {\r\n background-color: #666\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-comments:hover {\r\n background-color: #93b100\r\n}\r\n\r\n.wide-story-share-flyout-icon-wrap-firefly:hover {\r\n background-color: #9b5b2c\r\n}\r\n\r\n.wide-story-share-flyout-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-share-flyout-fb {\r\n background-position: -76px 0\r\n}\r\n\r\n.wide-story-share-flyout-twitter {\r\n background-position: -114px 0\r\n}\r\n\r\n.wide-story-share-flyout-gplus {\r\n background-position: -152px 0\r\n}\r\n\r\n.wide-story-share-flyout-email {\r\n background-position: -190px 0\r\n}\r\n\r\n.wide-story-share-flyout-comments {\r\n background-position: 225px 0\r\n}\r\n\r\n.wide-story-share-flyout-firefly {\r\n background-position: 185px 0\r\n}\r\n\r\n.wide-story-share-flyout-clicked-firefly {\r\n background: #9b5b2c\r\n}\r\n\r\n.wide-story-share-flyout-clicked-comments {\r\n background: #93b100\r\n}\r\n\r\n.wide-story-share-flyout-clicked-email {\r\n background: #666\r\n}\r\n\r\n.sub-flyout-comments,.sub-flyout-email {\r\n background-color: #000;\r\n border-right: 1px solid #3c3c3c;\r\n clear: both;\r\n color: #fff;\r\n float: right;\r\n font-size: 10px;\r\n height: 100%;\r\n overflow: hidden;\r\n position: fixed;\r\n right: -351px;\r\n top: 0;\r\n width: 350px;\r\n z-index: 9999\r\n}\r\n\r\n.sub-flyout-firefly {\r\n background-color: #000;\r\n border-right: 1px solid #3c3c3c;\r\n clear: both;\r\n color: #fff;\r\n float: right;\r\n font-size: 10px;\r\n height: 100%;\r\n overflow: hidden;\r\n position: fixed;\r\n right: -211px;\r\n top: 0;\r\n width: 210px;\r\n z-index: 9999\r\n}\r\n\r\n.sub-flyout-firefly-top {\r\n background-color: #222;\r\n height: 50%;\r\n position: relative;\r\n top: 0;\r\n width: 210px\r\n}\r\n\r\n.sub-flyout-comment-top {\r\n background-color: #222;\r\n margin: 0 auto;\r\n padding: 40px 0\r\n}\r\n\r\n.sub-flyout-comment-content {\r\n overflow: hidden;\r\n position: relative;\r\n top: 0\r\n}\r\n\r\n.sub-flyout-firefly-top-text {\r\n bottom: 70px;\r\n font: 12px 'Futura Today',Arial,sans-serif;\r\n left: 20px;\r\n position: absolute;\r\n text-align: center;\r\n width: 80%\r\n}\r\n\r\n.sub-flyout-firefly-top-btn {\r\n background: #9b5b2c;\r\n bottom: 20px;\r\n font-weight: bolder;\r\n left: 30px;\r\n margin: 0 auto;\r\n padding: 10px 0;\r\n position: absolute;\r\n text-align: center;\r\n text-transform: uppercase;\r\n width: 150px\r\n}\r\n\r\n.sub-flyout-firefly-top-btn-link .sub-flyout-firefly-top-btn,.sub-flyout-firefly-top-btn-link .sub-flyout-firefly-top-btn:hover {\r\n color: #fff;\r\n font: 12px 'Futura Today',Arial,sans-serif\r\n}\r\n\r\n.sub-flyout-firefly-login {\r\n font: 12px 'Helvetica Neue',Arial,sans-serif;\r\n margin: 0 auto;\r\n padding: 30px 0;\r\n position: relative;\r\n text-align: center;\r\n top: 0;\r\n width: 65%\r\n}\r\n\r\n.sub-flyout-firefly-login a {\r\n color: #fff\r\n}\r\n\r\n.sub-flyout-firefly-login .login-header {\r\n border-bottom: #1f1f1f solid thin;\r\n font-weight: bolder;\r\n margin: 0 auto 15px;\r\n padding-bottom: 20px;\r\n width: 50px\r\n}\r\n\r\n.sub-flyout-close {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -304px -38px;\r\n bottom: 70px;\r\n height: 38px;\r\n left: 85px;\r\n margin: 0 auto;\r\n width: 40px\r\n}\r\n\r\n.sub-flyout-close:hover {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -304px -76px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-share-wrapper .facebook-comments-plugin-wrap {\r\n background-color: #000;\r\n margin: 0 auto 30px;\r\n overflow: auto;\r\n position: relative;\r\n top: 0;\r\n -webkit-overflow-scrolling: touch;\r\n width: 335px\r\n}\r\n\r\n.sub-flyout-comment-bottom {\r\n padding-top: 50px;\r\n position: relative\r\n}\r\n\r\n.sub-flyout-top-title {\r\n font: 24px 'Futura Today Light',Arial,sans-serif;\r\n margin: 0 0 30px;\r\n text-align: center\r\n}\r\n\r\n.sub-flyout-top-text {\r\n color: #fff;\r\n font: 12px 'Futura Today',Arial,sans-serif;\r\n margin: 0 auto;\r\n padding: 0 50px;\r\n text-align: center\r\n}\r\n\r\n.sub-flyout-top-text a {\r\n color: #fff;\r\n font-weight: 800\r\n}\r\n\r\n.content-area-share-group {\r\n font: 700 12px 'futura today',Arial,sans-serif;\r\n margin: 0 auto 70px;\r\n position: relative;\r\n text-align: center;\r\n width: 600px\r\n}\r\n\r\n.content-area-share-group .social-bookmark-header {\r\n clear: both;\r\n font-size: 14px;\r\n font-weight: 5;\r\n margin: 0 auto;\r\n text-transform: uppercase;\r\n width: 600px\r\n}\r\n\r\n.content-area-share-group .share-item-wrapper {\r\n margin: 0 auto\r\n}\r\n\r\n.content-area-share-group .share-item {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n cursor: pointer;\r\n display: inline-block;\r\n height: 38px;\r\n margin: 20px 20px 0;\r\n position: relative;\r\n text-align: center;\r\n width: 38px;\r\n z-index: 5000\r\n}\r\n\r\n.content-area-share-group .share-item .share-item-link {\r\n color: #999;\r\n display: block\r\n}\r\n\r\n.content-area-share-group .social-button-image {\r\n margin: 0 auto;\r\n min-height: 20px;\r\n text-align: center;\r\n width: 30px\r\n}\r\n\r\n.content-area-share-group .share-fb {\r\n background-color: #3b5998;\r\n background-position: -76px 0\r\n}\r\n\r\n.content-area-share-group .social-button-text {\r\n display: inline-block;\r\n font: 700 12px HelveticaNeue-CondensedBold,'Helvetica Neue',Arial,sans-serif;\r\n position: relative;\r\n top: 35px\r\n}\r\n\r\n.content-area-share-group .share-twitter {\r\n background-color: #00aced;\r\n background-position: -114px 0\r\n}\r\n\r\n.content-area-share-group .share-gplus {\r\n background-color: #dd4b39;\r\n background-position: -152px 0\r\n}\r\n\r\n.content-area-share-group .share-text {\r\n display: block;\r\n margin-left: -6px;\r\n position: relative;\r\n width: 50px\r\n}\r\n\r\n.content-area-share-group .share-email {\r\n background-color: #666;\r\n background-position: -190px 0\r\n}\r\n\r\n.content-area-share-group .share-comments {\r\n background-color: #93b100;\r\n background-position: 228px 0\r\n}\r\n\r\n.content-area-share-group .line {\r\n background: #f3f3f3;\r\n border-top: solid 1px #f3f3f3;\r\n left: -50px;\r\n position: absolute;\r\n height: 1px;\r\n display: block;\r\n top: 56px;\r\n width: 700px\r\n}\r\n\r\n.sub-flyout-email {\r\n background-color: #000\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-scroll {\r\n background-color: #000;\r\n overflow: auto;\r\n position: relative;\r\n top: 0;\r\n -webkit-overflow-scrolling: touch\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-header {\r\n background-color: #222;\r\n margin: 0 auto;\r\n padding: 40px 0\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-header-title {\r\n font: 24px 'Futura Today Light',Arial,sans-serif;\r\n margin: 0 0 30px;\r\n text-align: center\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-header-desc {\r\n color: #fff;\r\n font: 12px 'Helvetica Neue',Arial,sans-serif;\r\n padding: 0 50px;\r\n margin: 0;\r\n text-align: center\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-summary {\r\n background-color: #000;\r\n padding: 20px\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-summary-title {\r\n color: #fff;\r\n font-family: 'Futura Today',Arial,sans-serif;\r\n font-size: 14px;\r\n font-weight: 700;\r\n margin: 0 0 8px\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-summary-description {\r\n color: #fff;\r\n font-family: 'Helvetica Neue',Arial,sans-serif;\r\n font-size: 12px;\r\n line-height: 17px;\r\n margin: 2px 0\r\n}\r\n\r\n.sub-flyout-email .sub-flyout-email-summary-image {\r\n border: 5px solid #fff;\r\n box-shadow: 0 1px 5px 0 rgba(0,0,0,.2);\r\n float: left;\r\n margin: 0 20px 5px 0\r\n}\r\n\r\n.sub-flyout-email .share-email-container {\r\n background-color: #000\r\n}\r\n\r\n.sub-flyout-email .share-email-form-input,.sub-flyout-email .share-email-form-textarea {\r\n background-color: #343434;\r\n border: 0;\r\n border-radius: 0;\r\n box-shadow: none;\r\n color: #fff;\r\n font-family: 'Helvetica Neue',Arial,sans-serif;\r\n font-size: 12px;\r\n margin: 0 0 5px 20px;\r\n padding: 20px;\r\n width: 310px\r\n}\r\n\r\n.sub-flyout-email .recaptcha_image {\r\n border: 0;\r\n border-radius: 0;\r\n box-shadow: none;\r\n left: 0;\r\n margin: 0 0 5px 20px;\r\n width: 310px!important\r\n}\r\n\r\n.sub-flyout-email #recaptcha_challenge_image {\r\n width: 310px!important\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons {\r\n margin: 30px 20px\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .share-email-form-cancel-btn,.sub-flyout-email .email-form-buttons .share-email-form-send-btn {\r\n border-radius: 0;\r\n font-family: 'Futura Today',Arial,sans-serif;\r\n font-size: 12px;\r\n font-weight: 700;\r\n width: 145px\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .share-email-form-cancel-btn {\r\n background: #fff;\r\n margin-right: 5px\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .share-email-form-send-btn {\r\n background: #009bff\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .share-email-form-send-btn:hover {\r\n background: #33afff\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .ui-chunky-btn.cancel:before,.sub-flyout-email .email-form-buttons .ui-chunky-btn.send:before,.sub-flyout-email .email-form-buttons .ui-chunky-btn.cancel:after,.sub-flyout-email .email-form-buttons .ui-chunky-btn.send:after {\r\n background: 0;\r\n content: none\r\n}\r\n\r\n.sub-flyout-email .email-form-buttons .ui-chunky-btn,.sub-flyout-email .email-form-buttons .ui-chunky-btn.icon {\r\n padding: 0\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option_list {\r\n display: table;\r\n margin: 0;\r\n padding: 0 25px;\r\n width: 310px\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option_list:after {\r\n clear: both\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option {\r\n color: #fff;\r\n font-family: 'Helvetica Neue',Arial,sans-serif;\r\n margin: 5px 0 0;\r\n padding: 0 10px\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option_list:last-child {\r\n padding-right: 0\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option:hover {\r\n color: #fff\r\n}\r\n\r\n.sub-flyout-email .recaptcha_option_sprite {\r\n background: transparent url(../../images/sprites/spritesheet-captcha.png) no-repeat 0 0;\r\n margin-right: 5px\r\n}\r\n\r\n.sub-flyout-email .recaptcha_new,.sub-flyout-email .recaptcha_option:hover .recaptcha_new,.sub-flyout-email .recaptcha_new:hover {\r\n background-position: 0 0\r\n}\r\n\r\n.sub-flyout-email .recaptcha_audio,.sub-flyout-email .recaptcha_option:hover .recaptcha_audio,.sub-flyout-email .recaptcha_audio:hover {\r\n background-position: -16px 0;\r\n width: 16px\r\n}\r\n\r\n.sub-flyout-email .recaptcha_help,.sub-flyout-email .recaptcha_option:hover .recaptcha_help,.sub-flyout-email .recaptcha_help:hover {\r\n background-position: -32px 0;\r\n width: 16px\r\n}\r\n\r\n.sub-flyout-email .share-email-success-pane-title {\r\n font: 24px 'Futura Today Light',Arial,sans-serif;\r\n line-height: 50px;\r\n text-align: center\r\n}\r\n\r\n.sub-flyout-email .share-email-success-pane-description {\r\n color: #fff;\r\n font: 12px 'Helvetica Neue',Arial,sans-serif;\r\n padding: 0 50px;\r\n margin: 0;\r\n text-align: center\r\n}\r\n\r\n.generic-iframe-header {\r\n background: #f2f2f2;\r\n border-bottom: 1px solid #e1e1e1;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n height: 50px;\r\n position: relative;\r\n padding: 10px 20px 9px\r\n}\r\n\r\n.generic-iframe-header h5.generic-iframe-title {\r\n color: #666;\r\n float: left;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 15px;\r\n font-weight: 400;\r\n margin: 6px 5px 0 0;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 #fff\r\n}\r\n\r\n.coaches-ballot .ballot-list-container {\r\n display: inline-block;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n margin: 0;\r\n padding: 30px;\r\n padding-top: 0;\r\n width: 50%;\r\n vertical-align: top\r\n}\r\n\r\n.coaches-ballot .ballot-list-container:nth-child(odd) {\r\n padding-right: 15px\r\n}\r\n\r\n.coaches-ballot .ballot-list-container:nth-child(even) {\r\n padding-left: 15px\r\n}\r\n\r\n.coaches-ballot .ballot-list-container>div {\r\n border-top: 1px solid #ccc;\r\n border-bottom: 1px solid #ccc;\r\n width: 100%\r\n}\r\n\r\n.coaches-ballot .ballot-list-container>h2 {\r\n font-family: Futura Today Bold;\r\n font-size: 19px;\r\n text-transform: uppercase\r\n}\r\n\r\n.coaches-ballot .ballot-keys-list,.coaches-ballot .ballot-values-list {\r\n max-height: 550px;\r\n overflow-y: hidden;\r\n position: relative\r\n}\r\n\r\n.coaches-ballot .ballot-key.active {\r\n background: #dfdfdf url(../../images/sports/sp-head-noise.png) repeat top left\r\n}\r\n\r\n.coaches-ballot .ballot-key:hover,.coaches-ballot .ballot-matrix-team:hover {\r\n cursor: pointer\r\n}\r\n\r\n.coaches-ballot .team_name,.coaches-ballot .coach_name,.coaches-ballot .ballot-matrix-team {\r\n text-align: left!important\r\n}\r\n\r\n.coaches-ballot .coaches-ranking {\r\n font-size: 12px!important\r\n}\r\n\r\n.coaches-ballot .loading-panel {\r\n opacity: .4;\r\n filter: alpha(opacity=40)\r\n}\r\n\r\n.sports-coaches-ballots .biggest-movers-header {\r\n width: 750px;\r\n margin-right: 50px\r\n}\r\n\r\n@media screen and (max-width: 1250px) {\r\n .sports-coaches-ballots .biggest-movers-header {\r\n width:650px!important\r\n }\r\n}\r\n\r\n@media screen and (max-width: 1154px) {\r\n .sports-coaches-ballots .biggest-movers-header {\r\n width:418px!important\r\n }\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix {\r\n width: inherit;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n overflow-y: hidden;\r\n overflow-x: hidden\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix th {\r\n padding: 0!important;\r\n padding-left: 5px!important;\r\n white-space: nowrap;\r\n font-size: .8em;\r\n height: 120px;\r\n vertical-align: bottom;\r\n border: 0!important;\r\n background-color: #fff!important;\r\n width: 15px\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix th:not(.ballot-matrix-coach) {\r\n border-left: 0!important;\r\n border-right: 0!important;\r\n background-color: #fff!important\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix .rotated-heading {\r\n float: left;\r\n transform: rotate(-90deg);\r\n -ms-transform: rotate(-90deg);\r\n -webkit-transform: rotate(-90deg);\r\n width: inherit;\r\n margin: 5px auto;\r\n letter-spacing: .05em;\r\n font-weight: 700\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix td {\r\n padding: 0 3px!important;\r\n height: 17px;\r\n font-size: .75em!important;\r\n border-color: #fff!important\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix tr td:first-child {\r\n text-align: left!important\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix .vote-cell {\r\n background: #f0f0f0\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix th.ballot-matrix-column,.coaches-ballot .sp-ballot-matrix td:not(.vote-cell) {\r\n color: #333!important;\r\n font-weight: 400!important;\r\n white-space: nowrap;\r\n border: 0!important\r\n}\r\n\r\n#section_sports .coaches-ballot .sp-ballot-matrix tbody tr:hover td:not(vote-cell) {\r\n background: #fff\r\n}\r\n\r\n#section_sports .coaches-ballot .sp-ballot-matrix tbody tr:hover td.vote-cell {\r\n background: #f0f0f0\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix .active-row td:not(.vote-cell),.coaches-ballot .sp-ballot-matrix .active-row td a,.coaches-ballot .sp-ballot-matrix .active-column a {\r\n color: #e82219!important\r\n}\r\n\r\n#section_sports .coaches-ballot .sp-ballot-matrix tbody tr.active-row:hover td.vote-cell,#section_sports .coaches-ballot .sp-ballot-matrix tbody tr.active-row:hover td:not(vote-cell),.coaches-ballot .sp-ballot-matrix tr.active-row td,.coaches-ballot .sp-ballot-matrix td.active-column {\r\n background: #d6d6d6 url(../../images/sports/sp-head-noise.png) repeat top left\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix .active-ballot {\r\n background: #b81800 url(../../images/sports/sp-head-noise.png) repeat top left!important;\r\n padding: 0!important;\r\n color: #fff\r\n}\r\n\r\n.coaches-ballot .sp-ballot-matrix .active-rank {\r\n background: #e82219 url(../../images/sports/sp-head-noise.png) repeat top left!important;\r\n padding: 0!important;\r\n color: #fff\r\n}\r\n\r\n.horizontal-scroll {\r\n width: 100%;\r\n margin-bottom: -13px\r\n}\r\n\r\n.sp-details-box.sp-ballot-weekly-rankings {\r\n position: absolute;\r\n left: 0!important;\r\n right: 0!important;\r\n margin: auto!important;\r\n top: 120px;\r\n width: 800px;\r\n min-height: 180px\r\n}\r\n\r\n.sp-ballot-weekly-subhead .sp-subhead-profile-well {\r\n height: 127px\r\n}\r\n\r\n.sp-ballot-weekly-subhead .sp-subhead-profile-logo-wrap {\r\n padding: 20px 25px\r\n}\r\n\r\n.sp-ballot-weekly-popup {\r\n overflow: hidden\r\n}\r\n\r\n.sp-ballot-weekly-popup.inactive {\r\n display: none\r\n}\r\n\r\n.sp-details-ballot-weekly-bar {\r\n background: none repeat scroll 0 0 rgba(0,0,0,.2);\r\n line-height: 40px;\r\n padding-left: 15px;\r\n width: 765px\r\n}\r\n\r\n.sp-details-ballot-weekly-bar .sp-ballot-weekly-icon {\r\n background-repeat: no-repeat;\r\n background-image: url(../../images/sprites/table-graph-icon.png);\r\n display: inline-block;\r\n height: 24px;\r\n vertical-align: middle;\r\n width: 24px;\r\n margin-left: 10px;\r\n cursor: pointer\r\n}\r\n\r\n.sp-popup-content-ballot-weekly {\r\n padding: 0!important\r\n}\r\n\r\n.sp-popup-content-ballot-weekly table tbody td,.sp-ballot-weekly-popup table tbody td {\r\n padding: 2px!important\r\n}\r\n\r\n#section_sports .chart-wrapper.interactive.weekly-line-graph {\r\n width: 780px\r\n}\r\n\r\n.chart-wrapper.interactive.weekly-line-graph .chart-area {\r\n background: none repeat scroll 0 0 #fff;\r\n padding: 2%;\r\n width: 96%\r\n}\r\n\r\n.chart-wrapper.interactive.weekly-line-graph {\r\n min-height: 0!important\r\n}\r\n\r\n.chart-wrapper.interactive.weekly-line-graph .chart-area-legend ul li {\r\n background-image: url(../../images/interactives/charts/chart-list-bg.png)\r\n}\r\n\r\n.sp-details-ballot-weekly-bar .sp-ballot-weekly-icon.table-on {\r\n background-position: 0 -48px\r\n}\r\n\r\n.sp-details-ballot-weekly-bar .sp-ballot-weekly-icon.table-off {\r\n background-position: 0 -72px\r\n}\r\n\r\n.sp-details-ballot-weekly-bar .sp-ballot-weekly-icon.graph-on {\r\n background-position: 0 0\r\n}\r\n\r\n.sp-details-ballot-weekly-bar .sp-ballot-weekly-icon.graph-off {\r\n background-position: 0 -24px\r\n}\r\n\r\n.ballot-weekly-xAxisLabel {\r\n color: #ccc;\r\n font-size: 100%;\r\n margin: 30px 0 0;\r\n position: relative;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.coaches-ballot .ballot-matrix-scrollable,.sp-ballot-weekly-rankings .ballot-weekly-scrollable {\r\n height: 100%;\r\n max-width: 100%;\r\n overflow-x: hidden;\r\n position: relative\r\n}\r\n\r\n.sp-popup-content.sp-popup-content-ballot-weekly,.sp-popup-content.sp-popup-content-ballot-weekly-view {\r\n height: auto!important\r\n}\r\n\r\n.sp-ballot-weekly-popup .interactive-aside-sports {\r\n border-top: 0\r\n}\r\n\r\n.asset-metabar-ribbon {\r\n float: left\r\n}\r\n\r\n.asset-metabar-ribbon-sports {\r\n background-position: -72px -42px\r\n}\r\n\r\n.asset-metabar-ribbon-life {\r\n background-position: -72px -84px\r\n}\r\n\r\n.asset-metabar-ribbon-money {\r\n background-position: -72px -126px\r\n}\r\n\r\n.asset-metabar-ribbon-tech {\r\n background-position: -72px -168px\r\n}\r\n\r\n.asset-metabar-ribbon-travel {\r\n background-position: -72px -210px\r\n}\r\n\r\n.asset-metabar-ribbon-opinion {\r\n background-position: -72px -252px\r\n}\r\n\r\n.asset-metabar-ribbon-weather {\r\n background-position: -72px -294px\r\n}\r\n\r\n.asset-metabar-ribbon-title:before {\r\n background: url(../../images/sprites/blogs-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n float: left;\r\n height: 13px;\r\n margin: 0 5px 0 -4px;\r\n width: 13px\r\n}\r\n\r\n.asset-metabar-ribbon-title {\r\n background: #009bff;\r\n box-shadow: 1px 1px 2px rgba(0,0,0,.4);\r\n color: #fff;\r\n font: 12px 'Futura Today Bold',arial,sans-serif;\r\n height: 12px;\r\n line-height: 11px;\r\n margin: 2px 0 0 -3px;\r\n padding: 6px 10px;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset-metabar-ribbon-link,.asset-metabar-ribbon-link:visited {\r\n color: #fff\r\n}\r\n\r\n.asset-metabar-ribbon-link:hover {\r\n color: rgba(255,255,255,.8)\r\n}\r\n\r\n.asset-metabar-ribbon-title-sports {\r\n background: #eb1e00\r\n}\r\n\r\n.asset-metabar-ribbon-title-life {\r\n background: #9600b4\r\n}\r\n\r\n.asset-metabar-ribbon-title-money {\r\n background: #00a53c\r\n}\r\n\r\n.asset-metabar-ribbon-title-tech {\r\n background: #fa5f00\r\n}\r\n\r\n.asset-metabar-ribbon-title-travel {\r\n background: #00c3c3\r\n}\r\n\r\n.asset-metabar-ribbon-title-opinion {\r\n background: #666\r\n}\r\n\r\n.asset-metabar-ribbon-title-weather {\r\n background: #ffc000\r\n}\r\n\r\n.asset-metabar-wrap {\r\n clear: both;\r\n margin: 20px 0 23px 70px\r\n}\r\n\r\n.asset-metabar {\r\n border: 1px solid #e6e6e6;\r\n border-radius: 3px;\r\n margin: 20px 0 23px 70px;\r\n width: 878px\r\n}\r\n\r\n.asset-metabar-item {\r\n display: inline-block;\r\n font-size: 12px;\r\n font-weight: 700;\r\n line-height: 14px;\r\n margin: 6px 0 5px;\r\n padding: 2px 10px;\r\n position: relative\r\n}\r\n\r\n.asset-metabar-avatar {\r\n float: left;\r\n margin: 1px 0 0 1px\r\n}\r\n\r\n.asset-metabar-usatnetwork {\r\n padding: 0 10px\r\n}\r\n\r\n.asset-metabar-time {\r\n border-left: 1px solid #e1e1e1;\r\n color: #999;\r\n font-style: italic;\r\n font-weight: 400\r\n}\r\n\r\n.asset-metabar-time.nobyline {\r\n border: 0\r\n}\r\n\r\n.asset-metabar-usat-network {\r\n color: #000;\r\n font: 14px 'Futura Today Bold',arial,sans-serif;\r\n line-height: 30px;\r\n text-transform: uppercase\r\n}\r\n\r\n.asset-metabar-usat-network:before {\r\n background: url(../../images/sprites/usat-network.png) 0 0 no-repeat;\r\n content: '';\r\n float: left;\r\n height: 18px;\r\n margin: 6px 3px 0 6px;\r\n width: 18px\r\n}\r\n\r\n.asset-metabar-usat-network .asset-metabar-usat-network-blue {\r\n color: #009bff\r\n}\r\n\r\n.site-nav-span.site-nav-user-span {\r\n width: 18px\r\n}\r\n\r\n.site-nav-span.site-nav-user-span:after {\r\n background-position: -40px 0;\r\n height: 16px;\r\n margin-left: -9px;\r\n top: 13px;\r\n width: 18px\r\n}\r\n\r\n.site-nav-link.site-nav-user-link {\r\n cursor: pointer\r\n}\r\n\r\n.site-nav-user-avatar-image {\r\n left: 9px;\r\n position: absolute;\r\n top: 9px\r\n}\r\n\r\n.site-nav-user-dropdown.site-nav-dropdown.dropdown {\r\n text-align: center;\r\n width: 220px\r\n}\r\n\r\n.site-nav-user-dropdown-wrap {\r\n width: 180px;\r\n padding: 20px;\r\n display: none\r\n}\r\n\r\n.site-nav-user-dropdown-wrap.site-nav-user-dropdown-wrap-active {\r\n display: block\r\n}\r\n\r\n.site-nav-user-btn {\r\n width: 100%\r\n}\r\n\r\n.site-nav-user-login-btn {\r\n display: block;\r\n font-size: 12px;\r\n margin-bottom: 20px;\r\n padding: 0;\r\n vertical-align: top\r\n}\r\n\r\n.site-nav-user-login-btn:before {\r\n content: \"\";\r\n background: url(../../images/sprites/header-sprite.png) 0 0 no-repeat;\r\n display: inline-block;\r\n position: relative;\r\n top: 2px;\r\n margin-right: 10px;\r\n overflow: hidden;\r\n -webkit-backface-visibility: hidden\r\n}\r\n\r\n@media only screen and (-webkit-min-device-pixel-ratio: 2),only screen and (min-device-pixel-ratio:2),only screen and (min-resolution:2dppx) {\r\n .site-nav-user-login-btn:before {\r\n background-image:url(../../images/sprites/header-sprite@2x.png);\r\n background-size: 250px 120px\r\n }\r\n}\r\n\r\n.site-nav-user-login-facebook-btn:before {\r\n background-position: -161px 0;\r\n width: 7px;\r\n height: 12px\r\n}\r\n\r\n.site-nav-user-login-google-btn:before {\r\n background-position: -144px 0;\r\n height: 12px;\r\n width: 13px\r\n}\r\n\r\n.site-nav-user-logged-in-text {\r\n color: #fff;\r\n font-size: 13px;\r\n line-height: 18px;\r\n margin-bottom: 20px\r\n}\r\n\r\n.site-nav-user-display-name {\r\n display: block\r\n}\r\n\r\n.site-nav-user-display-name:after {\r\n content: '.';\r\n display: inline-block\r\n}\r\n\r\n.site-nav-user-logout-btn.header-nav-dropdown-dark-btn {\r\n margin-bottom: 20px\r\n}\r\n\r\n.site-nav-user-sign-in-faq-link.site-nav-user-dropdown-link {\r\n color: #fff;\r\n display: block;\r\n font-size: 12px;\r\n margin: 0 auto\r\n}\r\n\r\n.jobs-card-module-wrap {\r\n background-color: #f8f8f8;\r\n padding: 20px;\r\n position: relative\r\n}\r\n\r\n.jobs-card-form-field-wrap,.jobs-card-form-btn-wrap {\r\n clear: both;\r\n float: none;\r\n width: 100%\r\n}\r\n\r\n.jobs-card-form-btn-wrap {\r\n padding-top: 30px\r\n}\r\n\r\n.jobs-card-form-keywords,.jobs-card-form-location {\r\n float: left;\r\n margin-right: 17px;\r\n width: 220px\r\n}\r\n\r\n.jobs-card-module-wrap .ui-text-input,.jobs-card-form-category {\r\n width: 220px\r\n}\r\n\r\n.jobs-card-form-category,.jobs-card-form-submit-btn {\r\n float: left;\r\n margin-right: 17px\r\n}\r\n\r\n.jobs-card-powered-by {\r\n color: #333;\r\n font-size: 11px;\r\n position: absolute;\r\n bottom: 20px;\r\n right: 20px\r\n}\r\n\r\n.jobs-powered-by-logo {\r\n background: url(../../images/money/jobs/logo-career-builder.png) no-repeat;\r\n display: inline-block;\r\n height: 20px;\r\n margin-left: 5px;\r\n text-indent: -99999px;\r\n vertical-align: bottom;\r\n width: 100px\r\n}\r\n\r\n.jobs-form-dropdown>.ui-dropdown-content {\r\n max-height: 160px\r\n}\r\n\r\n.jobs-story-module-wrap {\r\n background-color: transparent;\r\n margin: 0 42px;\r\n text-align: left\r\n}\r\n\r\n.jobs-story-form-field-wrap,.jobs-story-form-keywords,.jobs-story-form-category,.jobs-story-form-submit {\r\n padding-bottom: 30px\r\n}\r\n\r\n.jobs-story-module-wrap .ui-text-input,.jobs-story-form-category {\r\n width: 100%\r\n}\r\n\r\n.jobs-story-powered-by {\r\n color: #333;\r\n border-top: 1px dashed #cbcbcb;\r\n font-size: 11px;\r\n padding: 30px 0 15px\r\n}\r\n\r\n.hpfm-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hpfm-image {\r\n display: block\r\n}\r\n\r\n.hpfm-image-link {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hpfm-image-link:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hpfm-primary-wrap:hover .hpfm-image-link:before,.hpfm-item:hover .hpfm-image-link:before {\r\n background-color: transparent\r\n}\r\n\r\n.hpfm-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 60%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hpfm-primary-wrap {\r\n float: left;\r\n height: 400px;\r\n position: relative;\r\n width: 720px\r\n}\r\n\r\n.hpfm-primary-image-link:after {\r\n height: 50%\r\n}\r\n\r\n.hpfm-primary-hed-link {\r\n display: block\r\n}\r\n\r\n.hpfm-primary-text-wrap {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n bottom: 0;\r\n left: 0;\r\n padding: 0 20px 18px;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.hpfm-primary-hed {\r\n color: #fff;\r\n display: block;\r\n font: 40px 'Futura Today DemiBold',Arial,sans-serif;\r\n letter-spacing: -1px;\r\n line-height: 44px;\r\n margin: 0 0 5px;\r\n position: relative;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n z-index: 2\r\n}\r\n\r\n.hpfm-video-hed-link,.hpfm-gallery-hed-link {\r\n margin-left: 48px;\r\n text-indent: -48px\r\n}\r\n\r\n.hpfm-primary-video-hed:before,.hpfm-primary-gallery-hed:before {\r\n font-family: 'Gannett Icons';\r\n font-size: 34px;\r\n margin-right: 8px;\r\n position: relative;\r\n top: 1px;\r\n vertical-align: top\r\n}\r\n\r\n.hpfm-primary-video-hed:before {\r\n content: 'v'\r\n}\r\n\r\n.hpfm-primary-gallery-hed:before {\r\n content: 'g'\r\n}\r\n\r\n.hpfm-spikes {\r\n bottom: -8px;\r\n display: inline-block;\r\n line-height: 30px;\r\n padding: 8px 0 0;\r\n position: relative;\r\n z-index: 5\r\n}\r\n\r\n.hpfm-spike-link,.hpfm-spike-link:visited {\r\n border-right: 1px solid rgba(255,255,255,.3);\r\n color: #fff;\r\n display: inline-block;\r\n font-size: 14px;\r\n font-weight: 700;\r\n height: 16px;\r\n line-height: 16px;\r\n margin-right: 15px;\r\n padding: 0 15px 0 0;\r\n transition: color .15s;\r\n vertical-align: top\r\n}\r\n\r\n.hpfm-spike-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.hpfm-last-spike-link {\r\n border: 0\r\n}\r\n\r\n.hpfm-spike-link:before {\r\n content: 'a';\r\n font-family: 'Gannett Icons';\r\n font-size: 18px;\r\n margin-right: 5px;\r\n vertical-align: top\r\n}\r\n\r\n.hpfm-video-spike-link:before,.hpfm-livevideo-spike-link:before {\r\n content: 'v'\r\n}\r\n\r\n.hpfm-gallery-spike-link:before {\r\n content: 'g';\r\n margin-right: 7px\r\n}\r\n\r\n.hpfm-noicon-spike-link:before {\r\n display: none\r\n}\r\n\r\n.hpfm-list {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: block;\r\n float: left;\r\n list-style: none;\r\n position: relative\r\n}\r\n\r\n.hpfm-item {\r\n cursor: pointer;\r\n display: block;\r\n float: left;\r\n margin: 0;\r\n margin-left: 1px;\r\n padding: 0;\r\n position: relative;\r\n width: 238px\r\n}\r\n\r\n.hpfm-item-1 {\r\n margin-left: 0;\r\n width: 240px\r\n}\r\n\r\n.hpfm-item-2 {\r\n width: 239px\r\n}\r\n\r\n.hpfm-list-link {\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n display: block;\r\n font-weight: 700;\r\n overflow: hidden;\r\n padding: 0;\r\n transition: color,background-color 75ms,75ms;\r\n -webkit-transition: color,background-color 75ms,75ms\r\n}\r\n\r\n.hpfm-dark-list-link {\r\n background-color: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f;\r\n border-top: 1px solid #3c3c3c;\r\n color: #fff\r\n}\r\n\r\n.hpfm-dark-list-link:visited {\r\n color: #fff\r\n}\r\n\r\n.hpfm-dark-list-link:hover {\r\n background-color: #272727;\r\n border-bottom-color: transparent;\r\n border-top-color: transparent;\r\n color: #fff\r\n}\r\n\r\n.hpfm-light-list-link {\r\n color: #282828;\r\n background-color: #f9f9f9;\r\n border-bottom: 1px solid #dcdcdc;\r\n border-top: 1px solid #fff\r\n}\r\n\r\n.hpfm-light-list-link:visited {\r\n color: #282828\r\n}\r\n\r\n.hpfm-light-list-link:hover {\r\n color: #282828;\r\n background-color: #fff;\r\n border-top-color: transparent\r\n}\r\n\r\n.hpfm-list-hed {\r\n display: block;\r\n font-size: 13px;\r\n height: 14px;\r\n line-height: 14px;\r\n overflow: hidden;\r\n padding: 4px 0;\r\n white-space: nowrap\r\n}\r\n\r\n.hpfm-item-hed-wrap {\r\n bottom: 0;\r\n box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n color: #fff;\r\n display: block;\r\n height: auto;\r\n left: 0;\r\n padding: 10px 10px 7px;\r\n position: absolute;\r\n text-shadow: 0 1px 1px #000;\r\n width: 100%;\r\n z-index: 5\r\n}\r\n\r\n.hpfm-item-hed {\r\n display: table-cell;\r\n font-size: 14px;\r\n line-height: 18px;\r\n vertical-align: middle;\r\n white-space: normal\r\n}\r\n\r\n.hpfm-item-video-hed-icon,.hpfm-item-gallery-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 29px;\r\n padding-right: 8px;\r\n position: relative;\r\n top: 0;\r\n vertical-align: middle\r\n}\r\n\r\n.hpfm-item-gallery-hed-icon {\r\n font-size: 27px;\r\n padding-right: 9px;\r\n top: 0\r\n}\r\n\r\n.hpfm-item-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.hpfm-item-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n@media only screen and (min-width: 1321px) {\r\n .hpfm-list {\r\n float:right\r\n }\r\n \r\n .hpfm-item {\r\n clear: both;\r\n float: none;\r\n height: 133px;\r\n margin: 1px 0 0 1px;\r\n overflow: hidden\r\n }\r\n \r\n .hpfm-item-1 {\r\n margin-left: 1px;\r\n margin-top: 0;\r\n height: 132px;\r\n width: 238px\r\n }\r\n \r\n .hpfm-item-2 {\r\n width: 238px\r\n }\r\n \r\n .hpfm-item-link {\r\n background: 0;\r\n border: 0;\r\n padding: 0\r\n }\r\n}\r\n\r\n.inline-share-tools {\r\n margin: 0 0 15px 60px;\r\n white-space: nowrap\r\n}\r\n\r\n.asset-inline-share-tools-bottom {\r\n padding-top: 10px\r\n}\r\n\r\n.inline-share-tools-label {\r\n display: inline-block;\r\n font: 400 11px/28px 'Futura Today Bold',Arial,sans-serif;\r\n height: 28px;\r\n background: #e0e0e0 url(../../images/components/utility-bar-noise.png);\r\n box-shadow: inset -2px 0 4px -1px rgba(0,0,0,.1);\r\n margin: 0;\r\n padding: 0 10px;\r\n position: relative;\r\n text-align: center;\r\n text-shadow: 0 1px 0 rgba(255,255,255,.5);\r\n top: 1px;\r\n vertical-align: top\r\n}\r\n\r\n.inline-share-btn {\r\n -moz-box-sizing: border-box;\r\n -webkit-box-sizing: border-box;\r\n box-sizing: border-box;\r\n border-right: 1px solid #d6d6d6;\r\n cursor: pointer;\r\n display: inline-block;\r\n height: 28px;\r\n outline: 0;\r\n padding: 0 10px;\r\n position: relative;\r\n text-align: center\r\n}\r\n\r\n.inline-share-btn-more {\r\n border: 0\r\n}\r\n\r\n.inline-share-count {\r\n font: 400 12px/18px 'Futura Today Bold',arial,sans-serif;\r\n color: #000;\r\n display: block;\r\n white-space: nowrap\r\n}\r\n\r\n.inline-share-count:before {\r\n background: url(../../images/components/utility-contribute-new-sprite.png) no-repeat 0 0;\r\n content: '';\r\n display: inline-block;\r\n position: relative;\r\n top: -2px;\r\n vertical-align: middle\r\n}\r\n\r\n.inline-share-count-facebook:before {\r\n background-position: -284px -65px;\r\n height: 14px;\r\n width: 8px\r\n}\r\n\r\n.inline-share-count-twitter:before {\r\n background-position: -11px -35px;\r\n height: 15px;\r\n width: 19px\r\n}\r\n\r\n.inline-share-count-linkedin:before {\r\n background-position: -68px -60px;\r\n height: 15px;\r\n width: 19px\r\n}\r\n\r\n.inline-share-count-comments:before {\r\n background-position: -190px -34px;\r\n height: 17px;\r\n width: 17px\r\n}\r\n\r\n.inline-share-count-email:before {\r\n background-position: -251px -64px;\r\n margin: 0;\r\n height: 15px;\r\n width: 15px\r\n}\r\n\r\n.inline-share-count-more:before {\r\n background-position: -101px -9px;\r\n height: 12px;\r\n top: -1px;\r\n width: 15px\r\n}\r\n\r\n.inline-share-btn-label {\r\n font: 700 8px/12px arial,sans-serif;\r\n color: #999;\r\n display: block;\r\n text-transform: uppercase;\r\n position: relative;\r\n top: 1px;\r\n -webkit-transition: color .1s;\r\n -moz-transition: color .1s;\r\n -ms-transition: color .1s;\r\n -o-transition: color .1s;\r\n transition: color .1s\r\n}\r\n\r\n.inline-share-btn:hover>.inline-share-btn-label {\r\n color: #000\r\n}\r\n\r\n.inline-share-btn-facebook:hover>.inline-share-btn-label {\r\n color: #4862a7\r\n}\r\n\r\n.inline-share-btn-twitter:hover>.inline-share-btn-label {\r\n color: #1bb2df\r\n}\r\n\r\n.inline-share-btn-linkedin:hover>.inline-share-btn-label {\r\n color: #4875b4\r\n}\r\n\r\n.mppm-wrap {\r\n background: #fff;\r\n height: 100%;\r\n overflow: hidden;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.mppm-ssts-label {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 3\r\n}\r\n\r\n.mppm-wrap .paginator-indicator-bullet {\r\n background: #d1d1d1;\r\n background: rgba(0,0,0,.2);\r\n box-shadow: none\r\n}\r\n\r\n.mppm-wrap .paginator-indicator-bullet.active:after {\r\n box-shadow: none\r\n}\r\n\r\n.mppm-wrap .paginator-indicator-target {\r\n margin: 20px 0;\r\n padding: 0 0 0 8px\r\n}\r\n\r\n.mppm-wrap .paginator-indicator-target:first-child {\r\n padding-left: 0\r\n}\r\n\r\n.mppm-items-wrap {\r\n display: table;\r\n margin: 0;\r\n padding: 20px 0 0;\r\n position: relative;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.mppm-item {\r\n display: table-cell;\r\n vertical-align: top\r\n}\r\n\r\n.mppm-img-wrap {\r\n position: relative\r\n}\r\n\r\n.mppm-img-wrap:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.mppm-img {\r\n border: 1px solid transparent;\r\n border-bottom-color: #bebebe;\r\n border-bottom-color: rgba(190,190,190,.6);\r\n box-shadow: 0 2px 2px 0 rgba(190,190,190,.6);\r\n height: 248px;\r\n margin: 0;\r\n -webkit-transition: border-color .2s ease-out;\r\n -moz-transition: border-color .2s ease-out;\r\n transition: border-color .2s ease-out;\r\n width: 328px\r\n}\r\n\r\na.mppm-link:hover .mppm-img {\r\n border-color: #009bff\r\n}\r\n\r\na.mppm-link {\r\n color: #333;\r\n display: block;\r\n margin: 0 20px 0 0;\r\n min-height: 250px;\r\n position: relative;\r\n width: auto;\r\n word-wrap: break-word\r\n}\r\n\r\na.mppm-item-group-start {\r\n margin-left: 19px\r\n}\r\n\r\n.mppm-img-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 50%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.mppm-link:hover .mppm-img-wrap:before {\r\n background: transparent\r\n}\r\n\r\n.mppm-hed-wrap {\r\n color: #fff;\r\n bottom: 0;\r\n left: 0;\r\n margin: 0 11px 16px;\r\n position: absolute;\r\n -webkit-transition: color .15s;\r\n -moz-transition: color .15s;\r\n transition: color .15s;\r\n z-index: 10\r\n}\r\n\r\n.mppm-headline {\r\n display: table-cell;\r\n font: 700 14px Helvetica,Arial,sans-serif;\r\n line-height: 18px;\r\n margin: 0;\r\n padding: 0;\r\n text-shadow: 0 1px 1px #000;\r\n vertical-align: top\r\n}\r\n\r\n.mppm-video-icon {\r\n color: #fff;\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 25px;\r\n line-height: 36px;\r\n padding: 0 10px 0 0;\r\n vertical-align: top\r\n}\r\n\r\n.mppm-video-icon:before {\r\n content: \"v\"\r\n}\r\n\r\n.mpsm-item {\r\n list-style: none\r\n}\r\n\r\n.mpsm-link {\r\n display: block;\r\n padding: 15px 20px;\r\n position: relative;\r\n -webkit-transition: color,background-color 75ms,75ms;\r\n -moz-transition: color,background-color .75ms;\r\n transition: color,background-color 75ms,75ms\r\n}\r\n\r\n.mpsm-light-link {\r\n color: #282828;\r\n background-color: #f9f9f9;\r\n border-top: 1px solid #fff;\r\n border-bottom: 1px solid #dcdcdc\r\n}\r\n\r\n.mpsm-light-link:hover {\r\n color: #282828;\r\n background-color: #fff;\r\n border-top-color: transparent\r\n}\r\n\r\n.mpsm-dark-link {\r\n background: #2b2b2b;\r\n border-bottom: 1px solid #0f0f0f;\r\n border-top: 1px solid #3c3c3c\r\n}\r\n\r\n.mpsm-dark-link:hover {\r\n background-color: #272727;\r\n border-top-color: transparent;\r\n border-bottom-color: transparent\r\n}\r\n\r\n.mpsm-text-link:hover .mpsm-dark-hed {\r\n color: #fff\r\n}\r\n\r\n.mpsm-text-link:hover .mpsm-light-hed {\r\n color: #282828\r\n}\r\n\r\n.mpsm-image-hed-span {\r\n padding: 0 10px\r\n}\r\n\r\n.mpsm-image-wrap {\r\n position: relative\r\n}\r\n\r\n.mpsm-image-wrap:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.mpsm-image-wrap:hover:before {\r\n background-color: rgba(0,0,0,0)\r\n}\r\n\r\n.mpsm-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 50%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.mpsm-large-image {\r\n box-shadow: 0 2px 2px 0 rgba(0,0,0,.08);\r\n visibility: hidden\r\n}\r\n\r\n.mpsm-hed {\r\n font-size: 13px;\r\n line-height: 1.4;\r\n display: block\r\n}\r\n\r\n.mpsm-light-hed {\r\n color: #282828\r\n}\r\n\r\n.mpsm-dark-hed {\r\n color: #fff\r\n}\r\n\r\n.mpsm-image-hed {\r\n bottom: 0;\r\n color: #fff;\r\n padding: 10px 0 11px;\r\n position: absolute;\r\n z-index: 3;\r\n width: 100%\r\n}\r\n\r\n.sidebar-primary-flex-sidebar-page .mpsm-image-hed {\r\n font-size: 18px;\r\n line-height: 22px\r\n}\r\n\r\n.sidebar-primary-suspender-sidebar-page .mpsm-image-hed {\r\n font-size: 12px;\r\n line-height: 16px\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .sidebar-primary-suspender-sidebar-page .mpsm-image-hed {\r\n font-size:20px;\r\n line-height: 25px\r\n }\r\n}\r\n\r\n.mpsm-hed-span {\r\n display: table-cell;\r\n vertical-align: middle\r\n}\r\n\r\n.mpsm-video-hed-span,.mpsm-gallery-hed-span {\r\n padding-left: 15px\r\n}\r\n\r\n.mpsm-video-hed:before {\r\n font-family: \"Gannett Icons\";\r\n content: 'v';\r\n display: table-cell;\r\n font-size: 32px;\r\n line-height: 1;\r\n vertical-align: middle\r\n}\r\n\r\n.mpsm-video-image-hed:before {\r\n font-size: 32px;\r\n padding-left: 10px\r\n}\r\n\r\n.mpsm-gallery-hed:before {\r\n font-family: \"Gannett Icons\";\r\n content: 'g';\r\n display: table-cell;\r\n line-height: 1;\r\n font-size: 26px;\r\n vertical-align: middle\r\n}\r\n\r\n.mpsm-gallery-image-hed:before {\r\n font-size: 32px;\r\n padding-left: 10px\r\n}\r\n\r\n.most-popular .play-btn-m {\r\n bottom: 26px;\r\n left: 53px\r\n}\r\n\r\n.popup-film {\r\n background-color: #000;\r\n filter: alpha(opacity=80);\r\n position: fixed;\r\n opacity: .8;\r\n bottom: 0;\r\n right: 0;\r\n left: 0;\r\n top: 0\r\n}\r\n\r\n.popup-film.inactive {\r\n opacity: 0;\r\n visibility: hidden\r\n}\r\n\r\n.sports-popup-media-module .popup-film {\r\n z-index: 40\r\n}\r\n\r\n.sports-popup-media-module .media-wrapper {\r\n position: fixed;\r\n left: 10px;\r\n top: 140px;\r\n z-index: 50;\r\n display: none\r\n}\r\n\r\n.sports-popup-media-module .gallery-wrapper {\r\n width: 720px;\r\n height: 680px\r\n}\r\n\r\n.sports-popup-media-module .gallery-wrapper .ui-loader {\r\n width: 720px\r\n}\r\n\r\n.sports-popup-media-module .video-wrapper {\r\n background-color: #2e2e2e;\r\n width: 880px;\r\n height: 495px;\r\n display: none\r\n}\r\n\r\n.sports-popup-media-module .video-wrapper.active {\r\n display: block\r\n}\r\n\r\n.sports-popup-media-module .video-wrapper .ui-loader {\r\n width: 880px\r\n}\r\n\r\n.sports-popup-media-module .gallery-sidebar-ad {\r\n z-index: -1\r\n}\r\n\r\n@media screen and (min-width: 1080px) {\r\n .sports-popup-media-module .gallery-sidebar-ad {\r\n visibility:visible;\r\n opacity: 1\r\n }\r\n \r\n .sports-popup-media-module .hover .gallery-viewport-meta,.sports-popup-media-module .hover .gallery-viewport-caption {\r\n display: none;\r\n visibility: hidden;\r\n opacity: 0\r\n }\r\n}\r\n\r\n.hero-module .front-gallery-link:hover,.hero-module .front-gallery-link {\r\n padding: 0\r\n}\r\n\r\n.video-popup-close {\r\n background-image: url(../../images/buttons/button-close.png);\r\n background-repeat: no-repeat;\r\n cursor: pointer;\r\n display: inline-block;\r\n height: 48px;\r\n position: absolute;\r\n right: -31px;\r\n top: -18px;\r\n width: 50px\r\n}\r\n\r\n.blogs {\r\n position: relative\r\n}\r\n\r\n.stagfront-primary .blogs .stage {\r\n width: 913px\r\n}\r\n\r\n.blogs-module {\r\n background: #f6f6f6;\r\n border: 1px solid #ccc\r\n}\r\n\r\n.blogs-mod-scrolling-stage {\r\n overflow: hidden;\r\n min-height: 128px;\r\n margin: 0 auto;\r\n position: relative;\r\n width: 729px\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .blogs-mod-scrolling-stage {\r\n width:913px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .blogs-mod-scrolling-stage {\r\n width:1095px\r\n }\r\n}\r\n\r\n.blogs-mod-list {\r\n list-style-type: none;\r\n left: -1px;\r\n margin: 0;\r\n padding: 0;\r\n position: absolute;\r\n top: 20px;\r\n min-width: 7700px\r\n}\r\n\r\n.blogs-mod-item {\r\n cursor: pointer;\r\n float: left;\r\n height: 97px;\r\n margin: 0;\r\n padding: 0 10px;\r\n text-align: center;\r\n width: 161px\r\n}\r\n\r\n.blogs-mod-item.last {\r\n border-right: 0\r\n}\r\n\r\n.blogs-mod-index {\r\n text-align: center;\r\n color: #333;\r\n font-size: 13px;\r\n font-weight: 400;\r\n line-height: 14px;\r\n height: 28px;\r\n padding-bottom: 10px;\r\n width: 161px\r\n}\r\n\r\n.blogs-mod-index .blogs-mod-index-link {\r\n color: inherit;\r\n display: inline-block;\r\n vertical-align: top;\r\n padding: 0;\r\n position: relative;\r\n margin: 0 auto\r\n}\r\n\r\n.blogs-mod-index-link:before {\r\n background: rgba(0,0,0,0) url(../../images/sprites/blogs-sprite.png) no-repeat -2px -105px;\r\n content: '';\r\n height: 15px;\r\n position: relative;\r\n width: 13px;\r\n left: 0;\r\n display: inline-block\r\n}\r\n\r\n.blogs-mod-item:hover .blogs-mod-index-link:before {\r\n background-position: -19px -105px\r\n}\r\n\r\n.blogs-mod-item:hover .blogs-mod-index-link {\r\n color: #009bff\r\n}\r\n\r\n.blogs-mod-item .blogs-mod-meta {\r\n color: #333;\r\n font-size: 10px;\r\n line-height: 16px;\r\n margin: 0 0 6px;\r\n height: 26px;\r\n vertical-align: bottom\r\n}\r\n\r\n.blogs-mod-item:hover .blogs-mod-meta {\r\n color: #666\r\n}\r\n\r\n.blogs-mod-meta .blogs-mod-time {\r\n border: 0;\r\n color: inherit;\r\n display: inline-block;\r\n font-size: inherit;\r\n font-style: italic;\r\n margin: 0;\r\n padding: 0;\r\n position: relative;\r\n white-space: nowrap\r\n}\r\n\r\n.blogs-mod-meta .blogs-mod-time:before {\r\n background: transparent url(../../images/sprites/blogs-sprite.png) no-repeat 0 -18px;\r\n content: '';\r\n height: 11px;\r\n left: 0;\r\n position: absolute;\r\n top: 2px;\r\n width: 9px\r\n}\r\n\r\n.blogs-mod-item:hover .blogs-mod-time:before {\r\n background-position: -18px -18px\r\n}\r\n\r\n.blogs-mod-headline {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400;\r\n line-height: 17px;\r\n margin: 0 0 10px;\r\n vertical-align: middle;\r\n height: auto\r\n}\r\n\r\n.blogs-mod-headline-link:link,.blogs-mod-headline-link:visited,.blogs-mod-headline-link:active {\r\n color: #999\r\n}\r\n\r\n.blogs-mod-item:hover .blogs-mod-headline,.blogs-mod-item:hover .blogs-mod-headline-link:link,.blogs-mod-item:hover .blogs-mod-headline-link:visited,.blogs-mod-item:hover .blogs-mod-headline-link:active {\r\n color: #333\r\n}\r\n\r\n.blogs-mod-headline:hover {\r\n color: #0af\r\n}\r\n\r\n.blogs-mod-scroller {\r\n background: transparent url(../../images/sprites/blogs-sprite.png) no-repeat -20px -129px;\r\n font-size: 0;\r\n height: 26px;\r\n margin-top: -11px;\r\n position: absolute;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: 50%;\r\n width: 14px\r\n}\r\n\r\n.blogs-mod-scroller-left {\r\n left: 10px\r\n}\r\n\r\n.blogs-mod-scroller-left:hover,.blogs-mod-scroller-left:active {\r\n background-position: -36px -129px\r\n}\r\n\r\n.blogs-mod-scroller-left.disabled,.blogs-mod-scroller-left.disabled:hover,.blogs-mod-scroller-left.disabled:active {\r\n background-position: -3px -129px\r\n}\r\n\r\n.blogs-mod-scroller-right {\r\n background-position: -20px -156px;\r\n right: 10px\r\n}\r\n\r\n.blogs-mod-scroller-right:hover,.blogs-mod-scroller-right:active {\r\n background-position: -36px -156px;\r\n right: 10px\r\n}\r\n\r\n.blogs-mod-scroller-right.disabled,.blogs-mod-scroller-right.disabled:hover,.blogs-mod-scroller-right.disabled:active {\r\n background-position: -3px -156px;\r\n right: 10px\r\n}\r\n\r\n.blog-item-table {\r\n display: table;\r\n height: 100px\r\n}\r\n\r\n.blogs-mod-index,.blogs-mod-headline,.blogs-mod-meta {\r\n display: table-row\r\n}\r\n\r\n.blogs-mod-headline-link {\r\n display: table-cell\r\n}\r\n\r\n.social-media {\r\n overflow: hidden\r\n}\r\n\r\n.social-media a.social {\r\n background-image: url(../../images/sprites/social-media.png);\r\n background-repeat: none;\r\n display: block;\r\n float: left;\r\n height: 30px;\r\n margin: 0 4px;\r\n text-indent: -50000px;\r\n width: 30px\r\n}\r\n\r\n.social-media-facebook {\r\n background-position: 0 -4px\r\n}\r\n\r\n.social-media-facebook:hover {\r\n background-position: -30px -4px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-facebook,.social-media.sm-flat-icons .social-media-facebook:hover {\r\n background-position: -60px -4px\r\n}\r\n\r\n.social-media-twitter {\r\n background-position: 0 -35px\r\n}\r\n\r\n.social-media-twitter:hover {\r\n background-position: -30px -35px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-twitter,.social-media.sm-flat-icons .social-media-twitter:hover {\r\n background-position: -60px -35px\r\n}\r\n\r\n.social-media-google_plus {\r\n background-position: 0 -66px\r\n}\r\n\r\n.social-media-google_plus:hover {\r\n background-position: -30px -66px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-google_plus,.social-media.sm-flat-icons .social-media-google_plus:hover {\r\n background-position: -60px -66px\r\n}\r\n\r\n.social-media-instagram {\r\n background-position: 0 -97px\r\n}\r\n\r\n.social-media-instagram:hover {\r\n background-position: -30px -97px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-instagram,.social-media.sm-flat-icons .social-media-instagram:hover {\r\n background-position: -60px -97px\r\n}\r\n\r\n.social-media-linkedin {\r\n background-position: 0 -128px\r\n}\r\n\r\n.social-media-linkedin:hover {\r\n background-position: -30px -128px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-linkedin,.social-media.sm-flat-icons .social-media-linkedin:hover {\r\n background-position: -60px -128px\r\n}\r\n\r\n.social-media-pinterest {\r\n background-position: 0 -159px\r\n}\r\n\r\n.social-media-pinterest:hover {\r\n background-position: -30px -159px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-pinterest,.social-media.sm-flat-icons .social-media-pinterest:hover {\r\n background-position: -60px -159px\r\n}\r\n\r\n.social-media-four_square {\r\n background-position: 0 -190px\r\n}\r\n\r\n.social-media-four_square:hover {\r\n background-position: -30px -190px\r\n}\r\n\r\n.social-media.sm-flat-icons .social-media-four_square,.social-media.sm-flat-icons .social-media-four_square:hover {\r\n background-position: -60px -190px\r\n}\r\n\r\n.social-media.sm-flat-icons h5.headlines-header-title {\r\n font-size: 13px\r\n}\r\n\r\n.newsletter-signup-primary {\r\n padding: 20px\r\n}\r\n\r\n.newsletter-signup-title {\r\n color: #000;\r\n font-family: 'Futura Today Bold',arial,sans-serif;\r\n font-size: 15px;\r\n font-weight: 400;\r\n margin: 0 5px 20px 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.newsletter-signup-tagline {\r\n margin: 5px 0\r\n}\r\n\r\n.newsletter-signup-form .newsletters {\r\n list-style: none\r\n}\r\n\r\n.newsletter-signup-form .newsletters .newsletter-signup-list-label {\r\n font-weight: 700\r\n}\r\n\r\n.newsletter-signup-form .newsletters .newsletter-description {\r\n margin-left: 18px;\r\n font-size: .9em\r\n}\r\n\r\n.newsletter-signup-form .newsletters .newsletter-signup-checkbox {\r\n margin-right: 5px\r\n}\r\n\r\n.newsletter-signup-inputs,.newsletter-signup-email,.newsletter-signup-email-confirm,.newsletter-signup-submit {\r\n float: left\r\n}\r\n\r\n.newsletter-signup-email-confirm,.newsletter-signup-submit {\r\n margin-left: 8px\r\n}\r\n\r\n.newsletter-signup-inputs,.newsletter-signup-submit {\r\n margin-top: 20px\r\n}\r\n\r\n.newsletter-signup-inputs .newsletter-signup-welcome-msg {\r\n margin-top: -9px\r\n}\r\n\r\n.newsletter-signup-msg {\r\n clear: both;\r\n display: none;\r\n font-size: 13px;\r\n font-weight: 700;\r\n height: 32px;\r\n vertical-align: middle;\r\n padding-top: 8px\r\n}\r\n\r\n.newsletter-signup-msg.success::before {\r\n content: \"✓\";\r\n background: url(../../images/modules/newsletter-signup/checkmark.png) no-repeat center;\r\n display: table-cell;\r\n position: relative;\r\n margin-right: 10px;\r\n width: 24px;\r\n height: 24px;\r\n background-size: 22px;\r\n font-weight: 700;\r\n font-size: 13px;\r\n line-height: 24px;\r\n color: rgba(0,0,0,0);\r\n float: left\r\n}\r\n\r\n.newsletter-signup-msg .message-text {\r\n vertical-align: middle;\r\n margin-top: 4px;\r\n float: left\r\n}\r\n\r\n.newsletter-signup-msg.success .message-text {\r\n color: #33acff\r\n}\r\n\r\n.newsletter-signup-msg.fail .message-text {\r\n color: red\r\n}\r\n\r\n.newsletter-signup-msg.updating {\r\n background: url(../../images/preloaders/windmill-loader_1x_light.gif) no-repeat left;\r\n padding-left: 35px;\r\n color: #333\r\n}\r\n\r\n.newsletter-signup-container .more-newsletters {\r\n font-size: 14px;\r\n font-weight: 700;\r\n display: block;\r\n margin: 25px 0 0 20px;\r\n float: left\r\n}\r\n\r\n.social-share-modal {\r\n display: none\r\n}\r\n\r\n.social-share-modal-window {\r\n background: #fff;\r\n box-shadow: 0 3px 8px rgba(0,0,0,.5);\r\n left: 50%;\r\n margin-left: -300px;\r\n padding: 20px 25px;\r\n position: fixed;\r\n top: 215px;\r\n width: 600px;\r\n z-index: 125\r\n}\r\n\r\n.social-share-modal-form-facebook-summary-title {\r\n font-weight: 700\r\n}\r\n\r\n.social-share-modal-icons {\r\n padding-top: 10px;\r\n margin-left: -17px\r\n}\r\n\r\n.social-share-modal-header h3 {\r\n margin: 0\r\n}\r\n\r\n.social-share-modal-header p {\r\n font-size: 12px\r\n}\r\n\r\n.social-share-modal-close-btn {\r\n background: url(../../images/sprites/ui-sprite.png) -150px -205px no-repeat;\r\n height: 50px;\r\n position: absolute;\r\n right: -25px;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n top: -12px;\r\n white-space: nowrap;\r\n width: 50px;\r\n cursor: pointer\r\n}\r\n\r\n.social-share-modal-btn {\r\n color: #000;\r\n cursor: pointer;\r\n display: inline-block;\r\n position: relative;\r\n width: 30px;\r\n height: 30px;\r\n margin-left: 10px;\r\n -webkit-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -moz-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -ms-transition: background-color .1s,color .1s,box-shadow .1s;\r\n -o-transition: background-color .1s,color .1s,box-shadow .1s;\r\n transition: background-color .1s,color .1s,box-shadow .1s\r\n}\r\n\r\n.social-share-modal-btn:hover,.social-share-modal-btn.active {\r\n background: #e8e8e8\r\n}\r\n\r\n.social-share-modal-btn:before {\r\n background-image: url(../../images/components/utility-contribute-new-sprite.png);\r\n content: '';\r\n display: block;\r\n margin: 6px auto;\r\n position: relative\r\n}\r\n\r\n.social-share-modal-btn-facebook:before {\r\n background-position: -281px -126px;\r\n margin-left: 10px;\r\n height: 17px;\r\n width: 25px\r\n}\r\n\r\n.social-share-modal-btn-twitter:before {\r\n background-position: -10px -63px;\r\n margin-left: 6px;\r\n height: 17px;\r\n width: 25px\r\n}\r\n\r\n.social-share-modal-btn-google:before {\r\n background-position: -35px -31px;\r\n height: 17px;\r\n width: 25px\r\n}\r\n\r\n.social-share-modal-btn-linkedin:before {\r\n background-position: -66px -29px;\r\n margin-left: 5px;\r\n height: 17px;\r\n width: 25px\r\n}\r\n\r\n.social-share-modal-btn-email:before {\r\n background-position: -249.5px -121px;\r\n margin-left: 5px;\r\n height: 17px;\r\n width: 25px\r\n}\r\n\r\n.social-share-modal-btn-submit-facebook {\r\n background: #637bad;\r\n background: -moz-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,#637bad),color-stop(100%,#5972a7));\r\n background: -webkit-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -o-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: -ms-linear-gradient(top,#637bad 0,#5972a7 100%);\r\n background: linear-gradient(to bottom,#637bad 0,#5972a7 100%);\r\n border: 1px solid #29447e;\r\n box-shadow: inset 0 1px 0 rgba(255,255,255,.2);\r\n color: #fff;\r\n cursor: pointer;\r\n display: inline-block;\r\n float: left;\r\n font-family: 'lucida grande',tahoma,verdana,arial,sans-serif;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 13px;\r\n margin: 4px 4px 4px 0;\r\n padding: 4px 12px 5px\r\n}\r\n\r\n.social-share-modal-form-facebook {\r\n display: block\r\n}\r\n\r\n.social-share-modal-form-facebook-overview {\r\n padding-bottom: 20px\r\n}\r\n\r\n.social-share-modal-form-facebook-overview-image {\r\n box-shadow: 0 1px 2px 0 rgba(0,0,0,.45);\r\n float: left;\r\n height: 75px;\r\n margin-right: 12px;\r\n width: 100px\r\n}\r\n\r\n.social-share-modal-form-facebook-summary {\r\n margin-left: 10px\r\n}\r\n\r\n.social-share-modal-form-facebook-summary-description {\r\n font-size: 12px\r\n}\r\n\r\n.social-share-modal-loading-facebook-wrap {\r\n float: right\r\n}\r\n\r\n.social-share-modal-loading-facebook {\r\n display: none;\r\n float: left;\r\n margin-top: 11px;\r\n padding-right: 10px\r\n}\r\n\r\n.social-share-modal-form-twitter {\r\n display: none\r\n}\r\n\r\n.social-share-modal-form-google {\r\n display: none\r\n}\r\n\r\n.social-share-modal-form-linkedin {\r\n display: none\r\n}\r\n\r\n.social-share-modal-form-submit-success {\r\n display: none;\r\n margin: 0 auto;\r\n width: 200px\r\n}\r\n\r\n.social-share-modal-form-submit-success-title {\r\n font-size: 20px;\r\n text-align: center\r\n}\r\n\r\n.social-share-modal-form-submit-success-title:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) 0 0 no-repeat;\r\n content: '';\r\n display: block;\r\n height: 71px;\r\n margin: 0 auto;\r\n width: 71px\r\n}\r\n\r\n.social-share-modal-form-submit-success-text {\r\n font-size: 14px;\r\n text-align: center\r\n}\r\n\r\n.social-share-modal-form-submit-fail {\r\n display: none;\r\n margin: 0 auto;\r\n width: 200px\r\n}\r\n\r\n.social-share-modal-form-submit-fail-title {\r\n font-size: 20px;\r\n text-align: center\r\n}\r\n\r\n.social-share-modal-form-submit-fail-title:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) -71px 0 no-repeat;\r\n content: '';\r\n display: block;\r\n height: 71px;\r\n margin: 0 auto;\r\n width: 71px\r\n}\r\n\r\n.social-share-modal-form-submit-fail-text {\r\n font-size: 14px;\r\n text-align: center;\r\n white-space: nowrap\r\n}\r\n\r\n.social-share-modal-form-submit-fail-try-again-link {\r\n cursor: pointer\r\n}\r\n\r\n.big-headline {\r\n margin: 0 auto;\r\n position: relative;\r\n text-align: center;\r\n top: -60px;\r\n width: 760px;\r\n z-index: 0\r\n}\r\n\r\n.big-headline-large-text {\r\n clear: both;\r\n font-family: 'Futura Today Demi-Bold',Helvetica,Arial,sans-serif;\r\n font-size: 36px;\r\n line-height: 38px;\r\n margin: 30px 0 10px;\r\n text-shadow: 2px 2px #fff;\r\n width: auto\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .big-headline {\r\n width:970px\r\n }\r\n \r\n .big-headline-large-text {\r\n font-size: 38px;\r\n line-height: 36px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .big-headline {\r\n width:1070px\r\n }\r\n \r\n .big-headline-large-text {\r\n font-size: 42px;\r\n line-height: 40px\r\n }\r\n}\r\n\r\n.big-headline-content-wrapper {\r\n display: inline-block;\r\n margin: 0 auto;\r\n text-align: left\r\n}\r\n\r\na.big-headline-primary-href {\r\n color: #333\r\n}\r\n\r\n.big-headline-chatter {\r\n clear: both;\r\n font-size: 13px;\r\n display: table-row\r\n}\r\n\r\n.big-headline-chatter-width-reference {\r\n display: table-cell\r\n}\r\n\r\n.big-headline-kicker {\r\n display: table-cell;\r\n font-weight: 700;\r\n padding-left: 10px;\r\n text-shadow: 1px 1px #fff;\r\n vertical-align: top;\r\n white-space: nowrap\r\n}\r\n\r\n.big-headline-kicker:hover {\r\n color: #0af\r\n}\r\n\r\n.big-headline-spikes {\r\n clear: both;\r\n font-size: 13px;\r\n font-weight: 700;\r\n list-style: none;\r\n margin: 0;\r\n padding: 0;\r\n z-index: 20\r\n}\r\n\r\n.big-headline-spike {\r\n border-left: 1px solid #d9d9d9;\r\n display: inline-block;\r\n padding: 0 10px\r\n}\r\n\r\na.big-headline-spike-href {\r\n color: #333;\r\n white-space: nowrap\r\n}\r\n\r\n.big-headline-spike-href:hover {\r\n color: #0af\r\n}\r\n\r\n.big-headline-first-spike {\r\n border: 0;\r\n padding-left: 0\r\n}\r\n\r\n.big-headline-kicker.ui-sprite-before:before {\r\n background-position: -380px -60px;\r\n left: 3px;\r\n top: -1px\r\n}\r\n\r\n.big-headline-kicker.ui-sprite-before:hover:before {\r\n background-position: -380px -21px\r\n}\r\n\r\n.big-headline-spike-href.ui-sprite-before:before {\r\n top: -1px\r\n}\r\n\r\n.big-headline-spike-icon-topic:before {\r\n background-position: -484px -40px;\r\n width: 16px\r\n}\r\n\r\n.big-headline-spike-icon-topic:hover:before {\r\n background-position: -484px -20px\r\n}\r\n\r\n.big-headline-spike-icon-text:before {\r\n background-position: -380px -60px;\r\n margin-right: 4px;\r\n width: 18px\r\n}\r\n\r\n.big-headline-spike-icon-text:hover:before {\r\n background-position: -380px -21px\r\n}\r\n\r\n.big-headline-spike-icon-gallery:before {\r\n background-position: -822px -1px\r\n}\r\n\r\n.big-headline-spike-icon-gallery:hover:before {\r\n background-position: -822px -21px\r\n}\r\n\r\n.big-headline-spike-icon-video:before {\r\n background-position: -581px -60px\r\n}\r\n\r\n.big-headline-spike-icon-video:hover:before {\r\n background-position: -581px -20px\r\n}\r\n\r\n.big-headline-spike-icon-interactive:before {\r\n background-position: -980px 1px\r\n}\r\n\r\n.big-headline-spike-icon-interactive:hover:before {\r\n background-position: -980px -23px\r\n}\r\n\r\n.big-headline-spike-icon-external:before {\r\n background-position: -743px -40px\r\n}\r\n\r\n.big-headline-spike-icon-external:hover:before {\r\n background-position: -743px -20px\r\n}\r\n\r\n.share-email-form-container {\r\n clear: both;\r\n display: none\r\n}\r\n\r\n.share-email-form-input {\r\n margin: 4px 15px 0;\r\n width: 290px\r\n}\r\n\r\n.share-email-form-input.error {\r\n border: 1px solid red;\r\n outline: 0\r\n}\r\n\r\n.share-email-form-input:focus,.share-email-form-textarea:focus {\r\n color: #000\r\n}\r\n\r\n.share-email-form-textarea {\r\n height: 200px;\r\n margin: 4px 15px 5px;\r\n width: 290px\r\n}\r\n\r\n.share-email-form-input-accept-terms {\r\n display: none\r\n}\r\n\r\n.share-email-form-cta {\r\n margin: 0 15px\r\n}\r\n\r\n.email-form-buttons {\r\n margin: 70px 15px 0\r\n}\r\n\r\n.ui-chunky-btn.share-email-form-cancel-btn {\r\n margin-right: 15px;\r\n padding-left: 51px;\r\n width: 115px\r\n}\r\n\r\n.ui-chunky-btn.share-email-form-send-btn {\r\n padding-left: 53px;\r\n width: 105px\r\n}\r\n\r\n.share-email-success-pane {\r\n display: none;\r\n padding: 30px 50px;\r\n text-align: center\r\n}\r\n\r\n.share-email-success-pane-title {\r\n font-size: 20px;\r\n margin: 0\r\n}\r\n\r\n.share-email-success-pane-title:before {\r\n background: url(../../images/components/utility-contribute-sprite.png) no-repeat 0 0;\r\n content: '';\r\n display: block;\r\n height: 71px;\r\n margin: 0 auto;\r\n width: 71px\r\n}\r\n\r\n.share-email-success-pane-description {\r\n color: #666;\r\n font-size: 14px\r\n}\r\n\r\n.recaptcha_image {\r\n border-radius: 3px;\r\n box-sizing: border-box;\r\n height: 57px;\r\n left: 15px;\r\n overflow: hidden;\r\n position: relative;\r\n width: 290px!important\r\n}\r\n\r\n.recaptcha_is_showing_audio>.recaptcha_image {\r\n width: auto!important\r\n}\r\n\r\n.recaptcha_isnot_showing_audio>.recaptcha_image {\r\n background: #fff;\r\n border-top: 2px solid rgba(0,0,0,.2)\r\n}\r\n\r\n.recaptcha_only_if_incorrect_sol,.recaptcha_image br {\r\n display: none\r\n}\r\n\r\n.recaptcha_is_showing_audio>.recaptcha_only_if_audio {\r\n display: block\r\n}\r\n\r\n.recaptcha_audio_cant_hear_link {\r\n background: #fff;\r\n background: -moz-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(255,255,255,1)),color-stop(100%,rgba(247,247,247,1)));\r\n background: -webkit-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -o-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: -ms-linear-gradient(top,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n background: linear-gradient(to bottom,rgba(255,255,255,1) 0,rgba(247,247,247,1) 100%);\r\n box-shadow: 0 1px 2px rgba(0,0,0,.1);\r\n color: #999;\r\n border: 1px solid #d4d4d4;\r\n border-radius: 3px;\r\n font-family: 'Futura Today Bold',helvetica,sans-serif;\r\n font-size: 10px;\r\n cursor: pointer;\r\n display: inline-block;\r\n height: 30px;\r\n line-height: 31px;\r\n margin: 12px 9px 0 0;\r\n padding: 0 10px;\r\n position: relative;\r\n text-transform: uppercase;\r\n text-shadow: 0 1px 0 rgba(0,0,0,.1)\r\n}\r\n\r\n.recaptcha_audio_cant_hear_link:hover,.recaptcha_audio_cant_hear_link:visited,.recaptcha_audio_cant_hear_link:active {\r\n background: #fff\r\n}\r\n\r\n.recaptcha_option {\r\n color: #999;\r\n cursor: pointer;\r\n float: left;\r\n font-size: 10px;\r\n margin: 5px 0 13px 12px;\r\n text-transform: uppercase\r\n}\r\n\r\n.recaptcha_option:hover {\r\n color: #666\r\n}\r\n\r\n.recaptcha_option_sprite {\r\n background: transparent url(../../images/components/recaptcha-sprites.png) no-repeat 0 0;\r\n display: inline-block;\r\n height: 20px;\r\n position: relative;\r\n top: 9px;\r\n width: 30px\r\n}\r\n\r\n.recaptcha_new {\r\n margin-left: 5px;\r\n width: 16px\r\n}\r\n\r\n.recaptcha_option:hover .recaptcha_new {\r\n background-position: 0 -30px\r\n}\r\n\r\n.recaptcha_audio {\r\n background-position: -40px 0;\r\n width: 30px\r\n}\r\n\r\n.recaptcha_option:hover .recaptcha_audio {\r\n background-position: -40px -30px\r\n}\r\n\r\n.recaptcha_help {\r\n background-position: -80px 0;\r\n width: 28px\r\n}\r\n\r\n.recaptcha_option:hover .recaptcha_help {\r\n background-position: -80px -30px\r\n}\r\n\r\n.national-news-desk-header {\r\n padding: 15px 20px 0\r\n}\r\n\r\n.national-news-desk-header-logo {\r\n background: transparent url(../../images/modules/national-news-desk/USATN-module-logo@2x.png) no-repeat top left;\r\n background-size: auto 20px;\r\n display: inline-block;\r\n height: 21px;\r\n width: 250px\r\n}\r\n\r\n.national-news-desk-more-link {\r\n float: right;\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 17px;\r\n margin-bottom: 5px;\r\n padding-right: 10px;\r\n text-decoration: none\r\n}\r\n\r\n.generic-static-module-asset-item {\r\n float: left;\r\n font-family: Arial,sans-serif;\r\n height: 90px;\r\n margin: 8px 0 7px 20px;\r\n position: relative;\r\n width: 333px\r\n}\r\n\r\n.generic-static-module-asset-item.skip-publication {\r\n height: 80px\r\n}\r\n\r\n.generic-static-module-asset-img {\r\n float: left;\r\n height: 80px;\r\n left: 0;\r\n margin-right: 20px;\r\n position: relative;\r\n top: 0;\r\n width: 80px\r\n}\r\n\r\n.generic-static-module-subhead {\r\n font-size: 11px;\r\n font-weight: 700;\r\n line-height: 17px;\r\n margin-top: 5px\r\n}\r\n\r\n.generic-static-module-ssts {\r\n border-right: 1px solid #999;\r\n color: #3399fe;\r\n float: left;\r\n margin-right: 10px;\r\n padding-right: 10px;\r\n text-decoration: none\r\n}\r\n\r\n.generic-static-module-ssts.noborder {\r\n border-right: 0\r\n}\r\n\r\n.generic-static-module-headline,.generic-static-module-headline:visited {\r\n color: #000;\r\n font-weight: 700;\r\n text-decoration: none\r\n}\r\n\r\n.generic-static-module-headline:hover {\r\n color: #1a95d2\r\n}\r\n\r\n.generic-static-module-timestamp {\r\n color: #999;\r\n font-weight: 400\r\n}\r\n\r\n.generic-static-module-sponsor-logo {\r\n float: right;\r\n width: 170px\r\n}\r\n\r\n.generic-static-module-presented {\r\n float: left;\r\n font-size: 10px;\r\n line-height: 25px;\r\n padding-right: 8px\r\n}\r\n\r\n.generic-static-module-asset-item .play-btn-m {\r\n position: absolute;\r\n left: 28px;\r\n top: 28px\r\n}\r\n\r\n.generic-front-header-module {\r\n border: 0\r\n}\r\n\r\n.gfh-wrapper {\r\n width: 720px\r\n}\r\n\r\n.gfh-image {\r\n margin-bottom: 10px\r\n}\r\n\r\n.gfh-headline {\r\n font: 700 22px \"Futura Today\",Arial,sans-serif;\r\n margin: 0;\r\n padding: 12px 20px 5px\r\n}\r\n\r\n.gfh-text {\r\n font: 700 12px \"Futura Today Light\",Arial,sans-serif;\r\n padding: 0 20px 12px\r\n}\r\n\r\n.story-asset.oembed-asset {\r\n margin: 0 0 15px 60px;\r\n text-align: center\r\n}\r\n\r\n.oembed {\r\n display: inline-block\r\n}\r\n\r\n.oembed-asset>.oembed>iframe {\r\n max-width: 100%\r\n}\r\n\r\n.oembed-asset>.oembed-type-photo>img {\r\n max-width: 100%\r\n}\r\n\r\n.story-asset.oembed-asset blockquote {\r\n border-left: 0;\r\n color: #797979;\r\n font: 400 14px/22px arial,sans-serif;\r\n margin: 0;\r\n overflow: auto;\r\n padding-left: 0\r\n}\r\n\r\n.story-asset.oembed-asset p {\r\n margin: 0\r\n}\r\n\r\n.oembed-type-link {\r\n display: block\r\n}\r\n\r\n.oembed-twitter {\r\n width: 500px\r\n}\r\n\r\n.oembed-storify {\r\n display: block\r\n}\r\n\r\n.hp5um-ssts-label-top-left {\r\n left: 10px;\r\n position: absolute;\r\n top: 10px;\r\n z-index: 2\r\n}\r\n\r\n.hp5um-image {\r\n display: block;\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hp5um-image-link {\r\n height: 100%;\r\n width: 100%\r\n}\r\n\r\n.hp5um-image-link:before {\r\n background-color: rgba(0,0,0,.15);\r\n content: \"\";\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hp5um-primary-wrap:hover .hp5um-image-link:before,.hp5um-item:hover .hp5um-image-link:before {\r\n background-color: transparent\r\n}\r\n\r\n.hp5um-image-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 60%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.hp5um-ranking {\r\n color: #fff;\r\n font: 700 20px Helvetica,Arial,sans-serif;\r\n left: 10px;\r\n position: absolute;\r\n text-shadow: -1px 0 4px rgba(0,0,0,.65);\r\n top: 10px;\r\n z-index: 3\r\n}\r\n\r\n.hp5um-ranking-primary {\r\n font-size: 40px;\r\n left: 20px;\r\n top: 20px\r\n}\r\n\r\n.hp5um-primary-wrap {\r\n height: 405px;\r\n margin: 0 0 1px;\r\n position: relative;\r\n width: 720px\r\n}\r\n\r\n.hp5um-primary-image-link:after {\r\n height: 50%\r\n}\r\n\r\n.hp5um-primary-hed-link {\r\n display: block\r\n}\r\n\r\n.hp5um-primary-text-wrap {\r\n box-sizing: border-box;\r\n bottom: 0;\r\n left: 0;\r\n padding: 0 20px 18px;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.hp5um-primary-hed {\r\n color: #fff;\r\n display: block;\r\n font: 40px 'Futura Today DemiBold',Arial,sans-serif;\r\n letter-spacing: -1px;\r\n line-height: 44px;\r\n margin: 0 0 5px;\r\n position: relative;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4);\r\n z-index: 2\r\n}\r\n\r\n.hp5um-video-hed-link,.hp5um-gallery-hed-link {\r\n margin-left: 48px;\r\n text-indent: -48px\r\n}\r\n\r\n.hp5um-primary-video-hed:before,.hp5um-primary-gallery-hed:before {\r\n font-family: 'Gannett Icons';\r\n font-size: 34px;\r\n margin-right: 8px;\r\n position: relative;\r\n top: 1px;\r\n vertical-align: top\r\n}\r\n\r\n.hp5um-primary-video-hed:before {\r\n content: 'v'\r\n}\r\n\r\n.hp5um-primary-gallery-hed:before {\r\n content: 'g'\r\n}\r\n\r\n.hp5um-list {\r\n box-sizing: border-box;\r\n display: block;\r\n list-style: none;\r\n position: relative;\r\n width: 720px\r\n}\r\n\r\n.hp5um-item {\r\n cursor: pointer;\r\n display: block;\r\n float: left;\r\n height: 134px;\r\n margin: 0 0 0 1px;\r\n padding: 0;\r\n position: relative;\r\n width: 179px\r\n}\r\n\r\n.hp5um-item:first-child {\r\n margin-left: 0;\r\n width: 180px\r\n}\r\n\r\n.hp5um-list-link {\r\n box-sizing: border-box;\r\n display: block;\r\n font-weight: 700;\r\n overflow: hidden;\r\n padding: 0;\r\n transition: color,background-color 75ms,75ms\r\n}\r\n\r\n.hp5um-list-hed {\r\n display: block;\r\n font-size: 13px;\r\n height: 14px;\r\n line-height: 14px;\r\n overflow: hidden;\r\n padding: 4px 0;\r\n white-space: nowrap\r\n}\r\n\r\n.hp5um-item-hed-wrap {\r\n bottom: 0;\r\n box-sizing: border-box;\r\n color: #fff;\r\n display: block;\r\n height: auto;\r\n left: 0;\r\n padding: 10px 10px 7px;\r\n position: absolute;\r\n text-shadow: 0 1px 1px #000;\r\n width: 100%;\r\n z-index: 5\r\n}\r\n\r\n.hp5um-item-hed {\r\n display: table-cell;\r\n font-size: 14px;\r\n line-height: 18px;\r\n vertical-align: middle;\r\n white-space: normal\r\n}\r\n\r\n.hp5um-item-video-hed-icon,.hp5um-item-gallery-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n font-size: 27px;\r\n padding-right: 7px;\r\n position: relative;\r\n top: 0;\r\n font-weight: 400;\r\n vertical-align: middle\r\n}\r\n\r\n.hp5um-item-gallery-hed-icon {\r\n font-size: 27px;\r\n padding-right: 9px;\r\n top: 0\r\n}\r\n\r\n.hp5um-item-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.hp5um-item-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n.hpm-wrapper {\r\n background: #f8f8f8;\r\n padding: 24px\r\n}\r\n\r\n.horo-icons-wrapper {\r\n width: 100%\r\n}\r\n\r\n.horo-icon {\r\n float: left;\r\n font: 400 12px Helvetica,Arial,sans-serif;\r\n margin-bottom: 35px;\r\n text-align: center;\r\n text-transform: uppercase;\r\n width: 111px\r\n}\r\n\r\n.horo-icon a.horo-icon-link,.horo-icon a.horo-icon-link:visited {\r\n color: #333;\r\n display: block;\r\n -webkit-transition: color .15s;\r\n -moz-transition: color 15s;\r\n transition: color .15s\r\n}\r\n\r\n.horo-icon a.horo-icon-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.horo-icon-img {\r\n background: url(../../images/sprites/horoscope-icons.png);\r\n height: 75px;\r\n margin: 0 auto 10px;\r\n opacity: .4;\r\n overflow: hidden;\r\n -webkit-transition: opacity .15s;\r\n -moz-transition: opacity 15s;\r\n transition: opacity .15s;\r\n width: 75px\r\n}\r\n\r\n.horo-icon-link:hover .horo-icon-img {\r\n opacity: 1\r\n}\r\n\r\n.horo-icon-img-aries {\r\n background-position: 0 -75px\r\n}\r\n\r\n.horo-icon-img-taurus {\r\n background-position: -75px -75px\r\n}\r\n\r\n.horo-icon-img-gemini {\r\n background-position: -150px -75px\r\n}\r\n\r\n.horo-icon-img-cancer {\r\n background-position: -225px -75px\r\n}\r\n\r\n.horo-icon-img-leo {\r\n background-position: -300px -75px\r\n}\r\n\r\n.horo-icon-img-virgo {\r\n background-position: -375px -75px\r\n}\r\n\r\n.horo-icon-img-libra {\r\n background-position: -450px -75px\r\n}\r\n\r\n.horo-icon-img-scorpio {\r\n background-position: -525px -75px\r\n}\r\n\r\n.horo-icon-img-sagittarius {\r\n background-position: -600px -75px\r\n}\r\n\r\n.horo-icon-img-capricorn {\r\n background-position: -675px -75px\r\n}\r\n\r\n.horo-icon-img-aquarius {\r\n background-position: -750px -75px\r\n}\r\n\r\n.horo-icon-img-pisces {\r\n background-position: 75px -75px\r\n}\r\n\r\n.horo-error {\r\n clear: both;\r\n color: red;\r\n font-size: 12px;\r\n height: 15px;\r\n margin-left: 20px\r\n}\r\n\r\n.horo-date-text {\r\n color: #999;\r\n float: left;\r\n font: 400 12px Arial,Helvetica,sans-serif;\r\n line-height: 22px;\r\n margin: 15px 0 0 20px\r\n}\r\n\r\n.horo-date-text-bold {\r\n color: #333;\r\n font: 700 12px Helvetica,Arial,sans-serif;\r\n text-transform: uppercase\r\n}\r\n\r\n.horo-date {\r\n float: right;\r\n margin: 15px 20px 0 0\r\n}\r\n\r\n.horo-dropdown {\r\n float: left;\r\n margin-right: 15px;\r\n width: 125px\r\n}\r\n\r\n.horo-branding {\r\n clear: both;\r\n color: #999;\r\n font: 400 11px Helvetica,Arial,sans-serif;\r\n padding-top: 25px;\r\n text-align: right\r\n}\r\n\r\n.horo-submit-btn {\r\n float: left\r\n}\r\n\r\n.asset .priority-asset-gallery {\r\n background: #f7f7f7;\r\n border: 2px solid #e6e6e6;\r\n border-left: 0;\r\n border-radius: 0;\r\n border-right: 0;\r\n box-sizing: border-box;\r\n height: auto;\r\n margin: 10px 0 20px 70px;\r\n overflow: hidden;\r\n position: relative;\r\n width: 880px\r\n}\r\n\r\n.asset .pag-viewport {\r\n height: 405px;\r\n margin-bottom: 62px\r\n}\r\n\r\n.pag-photo-info {\r\n display: none\r\n}\r\n\r\n.pag-viewport-thumb-wrapper {\r\n border-right: 2px solid #e6e6e6;\r\n box-sizing: border-box;\r\n float: left;\r\n overflow: hidden;\r\n position: relative;\r\n width: 540px\r\n}\r\n\r\n.pag-arrow {\r\n top: -320px\r\n}\r\n\r\n.pag-thumbs {\r\n bottom: 8px;\r\n height: 110px\r\n}\r\n\r\n.pag-photo-border {\r\n box-shadow: none;\r\n margin-top: 10px;\r\n padding: 0\r\n}\r\n\r\n.pag-thumb-item {\r\n display: table-cell;\r\n height: 60px;\r\n position: relative;\r\n width: 100px\r\n}\r\n\r\n.pag-thumb-link {\r\n border: 0;\r\n display: inline-block;\r\n margin: 10px;\r\n outline: 0\r\n}\r\n\r\n.pag-thumb-image {\r\n box-shadow: 0 0 3px #646464;\r\n height: 45px;\r\n max-width: none;\r\n width: 80px\r\n}\r\n\r\n.pag-thumb-item.active .pag-thumb-image {\r\n box-shadow: 0 0 3px #4899ff\r\n}\r\n\r\n.pag-companion-wrapper {\r\n box-sizing: border-box;\r\n color: #333;\r\n float: left;\r\n margin: 0 10px 10px;\r\n padding: 10px;\r\n width: 320px\r\n}\r\n\r\n.pag-photo-title {\r\n font-family: 'Futura Today Light',Arial,sans-serif;\r\n font-weight: 400;\r\n line-height: 23px;\r\n margin: 0 0 30px;\r\n padding: 0;\r\n text-transform: uppercase\r\n}\r\n\r\n.pag-photo-caption {\r\n box-sizing: border-box;\r\n font-size: 14px;\r\n height: 155px;\r\n margin-bottom: 20px;\r\n overflow-y: auto;\r\n width: 310px\r\n}\r\n\r\n.pag-photo-credit {\r\n color: #696969;\r\n display: block;\r\n font-style: normal\r\n}\r\n\r\n.pag-ad {\r\n box-sizing: border-box;\r\n height: 250px;\r\n width: 300px;\r\n display: block;\r\n background: #fff\r\n}\r\n\r\n.gallery {\r\n overflow: hidden;\r\n position: relative;\r\n z-index: 0\r\n}\r\n\r\n.gallery-viewport {\r\n position: relative;\r\n display: table;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.gallery-slides {\r\n margin: 0;\r\n position: relative;\r\n -webkit-transition-duration: 0\r\n}\r\n\r\n.gallery-slide {\r\n display: table-cell;\r\n overflow: hidden\r\n}\r\n\r\n.gallery-nav {\r\n cursor: pointer;\r\n overflow: hidden;\r\n position: absolute;\r\n text-indent: -99999px;\r\n -moz-transition: opacity .2s ease-in-out;\r\n -o-transition: opacity .2s ease-in-out;\r\n transition: opacity .2s ease-in-out;\r\n display: none;\r\n z-index: 100\r\n}\r\n\r\n.gallery-nav.gallery-nav-active {\r\n display: block\r\n}\r\n\r\n.gallery-nav.gallery-nav-next {\r\n right: 0;\r\n left: auto\r\n}\r\n\r\n.gallery-nav.gallery-nav-prev {\r\n left: 0;\r\n right: auto\r\n}\r\n\r\n.gallery-title {\r\n display: block\r\n}\r\n\r\n.gallery-description {\r\n position: relative\r\n}\r\n\r\n.video-gallery-slides {\r\n position: absolute;\r\n top: 0;\r\n left: 0;\r\n display: table\r\n}\r\n\r\n.video-gallery-slide.gallery-slide {\r\n display: table-cell\r\n}\r\n\r\n.partner-placement.slide>.gallery-photo-border {\r\n margin-bottom: 8px\r\n}\r\n\r\n.partner-placement.slide>.gallery-photo-border.size-s {\r\n height: 450px;\r\n width: 600px\r\n}\r\n\r\n.partner-placement.slide>.gallery-photo-border.size-m {\r\n height: 576px;\r\n width: 768px\r\n}\r\n\r\n.partner-placement.slide>.gallery-photo-border.size-l {\r\n height: 700px;\r\n width: 936px\r\n}\r\n\r\n.partner-placement.slide>.gallery-photo-border.size-xl {\r\n height: 810px;\r\n width: 1080px\r\n}\r\n\r\n.inline-story-video-gallery {\r\n margin: 0 0 30px 50px;\r\n height: 410px;\r\n width: 520px;\r\n padding: 10px\r\n}\r\n\r\n.inline-story-video-gallery .video-gallery-viewport {\r\n box-shadow: 0 2px 11px 2px rgba(0,0,0,.3);\r\n font-family: Arial,sans-serif;\r\n height: 388px;\r\n overflow: hidden;\r\n padding-top: 10px;\r\n position: relative;\r\n width: 520px\r\n}\r\n\r\n.inline-story-video-gallery .video-gallery-slide {\r\n height: 388px;\r\n padding: 10px;\r\n width: 500px\r\n}\r\n\r\n.inline-story-video-gallery .video-gallery-description {\r\n font-size: 11px;\r\n height: 90px;\r\n padding-top: 10px;\r\n width: 500px\r\n}\r\n\r\n.inline-story-video-gallery .video-gallery-description p {\r\n color: #999;\r\n font-size: 11px;\r\n line-height: 16px;\r\n margin: 0\r\n}\r\n\r\n.inline-story-video-gallery .video-gallery-title {\r\n color: #333;\r\n font-size: 14px;\r\n font-weight: 700;\r\n margin-bottom: 2px\r\n}\r\n\r\n.inline-story-video-gallery .gallery-counter {\r\n right: 0;\r\n top: 10px\r\n}\r\n\r\n.inline-story-video-gallery .story-video.ui-video {\r\n height: 282px;\r\n margin: 0;\r\n width: 500px\r\n}\r\n\r\n.inline-story-video-gallery .gallery-nav {\r\n background: url(../../images/sprites/carousel-arrows.png) no-repeat;\r\n height: 42px;\r\n margin-bottom: -58px;\r\n width: 22px;\r\n position: absolute;\r\n top: 130px\r\n}\r\n\r\n.inline-story-video-gallery .gallery-nav-next {\r\n background-position: -23px 0\r\n}\r\n\r\n.inline-story-video-gallery .gallery-nav-prev {\r\n background-position: 0 0\r\n}\r\n\r\n.inline-story-video-gallery .gallery-video .ui-video-play-btn {\r\n background: url(../../images/buttons/button-video-play-small.png) no-repeat;\r\n height: 45px;\r\n width: 45px;\r\n bottom: 10px;\r\n right: 10px;\r\n position: absolute\r\n}\r\n\r\n.section-highlights-primary-module {\r\n overflow: hidden;\r\n padding-bottom: 20px\r\n}\r\n\r\n.shpm-row {\r\n float: left\r\n}\r\n\r\n.shpm-section {\r\n border: 0;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n float: left;\r\n margin: 0 0 5px;\r\n padding: 0 15px;\r\n position: relative;\r\n width: 360px\r\n}\r\n\r\n.shpm-section-even {\r\n padding-right: 14px;\r\n width: 359px\r\n}\r\n\r\n.shpm-section-header {\r\n line-height: 18px;\r\n margin: 18px 0 1px\r\n}\r\n\r\n.shpm-section-header-link {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n font: 400 13px/18px 'Futura Today Bold',arial,sans-serif;\r\n text-transform: uppercase;\r\n width: 100%;\r\n color: #fff;\r\n display: inline-block;\r\n padding: 3px 0 3px 7px;\r\n text-shadow: 0 1px 1px rgba(0,0,0,.15)\r\n}\r\n\r\n.shpm-section-header-link:hover,.shpm-section-header-link:visited {\r\n color: #fff\r\n}\r\n\r\n.shpm-primary-link {\r\n box-shadow: 0 2px 1px rgba(0,0,0,.2);\r\n display: block;\r\n float: left;\r\n height: 186px;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.shpm-primary-link:before {\r\n background: rgba(0,0,0,.15);\r\n content: '';\r\n display: block;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n -webkit-transition: background-color .15s ease-out;\r\n -moz-transition: background-color .15s ease-out;\r\n -o-transition: background-color .15s ease-out;\r\n transition: background-color .15s ease-out;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.shpm-primary-link:hover:before {\r\n background-color: rgba(0,0,0,0)\r\n}\r\n\r\n.shpm-primary-gradient {\r\n background: -moz-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -webkit-gradient(linear,left top,left bottom,color-stop(0%,rgba(0,0,0,0)),color-stop(100%,rgba(0,0,0,.65)));\r\n background: -webkit-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: -ms-linear-gradient(top,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n background: linear-gradient(to bottom,rgba(0,0,0,0) 0,rgba(0,0,0,.65) 100%);\r\n filter: progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#a6000000', GradientType=0);\r\n bottom: 0;\r\n content: \"\";\r\n display: block;\r\n height: 50%;\r\n left: 0;\r\n position: absolute;\r\n width: 100%;\r\n z-index: 2\r\n}\r\n\r\n.shpm-primary-hed-wrap {\r\n color: #fff;\r\n bottom: 0;\r\n left: 0;\r\n margin: 10px 10px 11px;\r\n position: absolute;\r\n text-shadow: 0 1px 1px #000;\r\n z-index: 10\r\n}\r\n\r\n.shpm-primary-video-hed-icon,.shpm-primary-gallery-hed-icon {\r\n display: table-cell;\r\n font-family: 'Gannett Icons';\r\n vertical-align: top;\r\n padding: 0 8px 0 0;\r\n font-size: 26px;\r\n line-height: 27px;\r\n color: #fff\r\n}\r\n\r\n.shpm-primary-video-hed-icon:before {\r\n content: 'v'\r\n}\r\n\r\n.shpm-primary-gallery-hed-icon:before {\r\n content: 'g'\r\n}\r\n\r\n.shpm-primary-hed {\r\n color: #fff;\r\n display: table-cell;\r\n font: 300 18px/22px Arial,sans-serif;\r\n vertical-align: middle\r\n}\r\n\r\n.shpm-primary-image {\r\n height: 100%;\r\n position: absolute;\r\n width: 100%\r\n}\r\n\r\n.shpm-secondary-link {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n display: block;\r\n float: left;\r\n margin: 15px 0 0;\r\n min-height: 80px;\r\n width: 100%\r\n}\r\n\r\n.shpm-secondary-image {\r\n float: left;\r\n height: 68px;\r\n margin: 0 15px 0 0;\r\n width: 90px\r\n}\r\n\r\n.shpm-secondary-hed {\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #333;\r\n font-size: 15px;\r\n font-weight: 400;\r\n line-height: 18px;\r\n margin: -4px 0 0;\r\n padding: 0 0 4px;\r\n transition: color .15s;\r\n width: 100%\r\n}\r\n\r\n.shpm-secondary-meta {\r\n color: #999;\r\n font-size: 11px;\r\n font-weight: 400;\r\n margin: 0\r\n}\r\n\r\n.shpm-secondary-link:hover .shpm-secondary-hed {\r\n color: #009bff\r\n}\r\n\r\n.shpm-tertiary-link {\r\n border-top: solid 1px #e0e0e0;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n color: #333;\r\n clear: both;\r\n display: block;\r\n font-size: 13px;\r\n line-height: 18px;\r\n margin: 0;\r\n padding: 7px 0 5px;\r\n transition: color .15s;\r\n width: 100%\r\n}\r\n\r\n.shpm-tertiary-link:hover {\r\n color: #009bff\r\n}\r\n\r\n.shpm-secondary-block-links {\r\n border-collapse: collapse;\r\n display: table;\r\n float: left;\r\n font: 400 14px 'Futura Today Bold',arial,sans-serif;\r\n margin: 15px 0;\r\n table-layout: fixed;\r\n text-transform: uppercase;\r\n width: 100%\r\n}\r\n\r\na.shpm-secondary-block-link {\r\n background-color: #ececec;\r\n -webkit-box-sizing: border-box;\r\n -moz-box-sizing: border-box;\r\n box-sizing: border-box;\r\n border-right: 10px solid #fff;\r\n display: table-cell;\r\n height: 65px;\r\n padding: 10px;\r\n text-align: center;\r\n transition: background-color,color .15s,.15s;\r\n vertical-align: middle\r\n}\r\n\r\na.shpm-secondary-block-link:last-child {\r\n border: 0\r\n}\r\n\r\na.shpm-secondary-block-link:hover {\r\n color: #fff;\r\n text-shadow: 1px 1px 1px rgba(0,0,0,.4)\r\n}\r\n\r\n.shpm-secondary-block-link-secondary-text {\r\n display: block;\r\n font-family: \"Futura Today Light\",arial,sans-serif\r\n}\r\n\r\n.wide-story-navigation {\r\n position: fixed;\r\n right: 5px;\r\n width: 60px;\r\n z-index: 10001\r\n}\r\n\r\n.wide-story-navigation:hover,.wide-story-navigation:hover .wide-story-navigation-icon {\r\n background-position: 0 -152px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-navigation-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: 0 -114px;\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-navigation.active .wide-story-navigation-icon,.wide-story-navigation.active:hover .wide-story-navigation-icon {\r\n background-position: 0 -76px\r\n}\r\n\r\n.wide-story-navigation.open .wide-story-navigation-text,.wide-story-navigation.active .wide-story-navigation-text {\r\n color: #fff\r\n}\r\n\r\n.wide-story-navigation.open .wide-story-navigation-icon {\r\n background-position: 0 0\r\n}\r\n\r\n.wide-story-navigation.open:hover .wide-story-navigation-icon {\r\n background-position: 0 -38px\r\n}\r\n\r\n.wide-story-navigation-text {\r\n color: #333;\r\n font: 700 8px 'futura today',Arial,sans-serif;\r\n margin-top: 10px;\r\n text-align: center;\r\n text-transform: uppercase\r\n}\r\n\r\n.wide-story-navigation-flyout {\r\n background-color: #000;\r\n box-shadow: -2px 0 2px rgba(0,0,0,.2);\r\n height: 100%;\r\n overflow: hidden;\r\n position: fixed;\r\n right: -300px;\r\n top: 0;\r\n width: 290px;\r\n z-index: 10000\r\n}\r\n\r\n.wide-story-navigation-flyout-close {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n background-position: -304px -38px;\r\n bottom: 30px;\r\n height: 38px;\r\n left: 120px;\r\n margin: 0 auto;\r\n position: absolute;\r\n width: 38px\r\n}\r\n\r\n.wide-story-navigation-flyout-close:hover {\r\n background-position: -304px -76px;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-navigation-chapter-wrapper {\r\n border-bottom: 1px #292929 solid;\r\n overflow: hidden;\r\n position: absolute;\r\n top: 0\r\n}\r\n\r\n.wide-story-navigation-chapter {\r\n color: #fff;\r\n overflow: hidden;\r\n position: relative;\r\n text-align: right;\r\n width: 280px\r\n}\r\n\r\n.wide-story-navigation-chapter-external-link,.wide-story-navigation-chapter-external-link:visited {\r\n color: #fff\r\n}\r\n\r\n.wide-story-navigation-chapter:hover {\r\n background-color: #666;\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-navigation-chapter:hover .wide-story-navigation-chapter-bg {\r\n display: block\r\n}\r\n\r\n.wide-story-navigation-chapter-title {\r\n font: 400 24px/1.2 'futura today',Arial,sans-serif;\r\n margin: 0 40px;\r\n padding-top: 20px;\r\n position: relative;\r\n z-index: 10015\r\n}\r\n\r\n.wide-story-navigation-chapter-subtitle {\r\n font: 12px/1.6 'futura today',Arial,sans-serif;\r\n margin: 10px 40px 0;\r\n padding-bottom: 20px;\r\n position: relative;\r\n text-transform: uppercase;\r\n z-index: 10015\r\n}\r\n\r\n.wide-story-navigation-chapter-up,.wide-story-navigation-chapter-down {\r\n height: 38px;\r\n width: 280px\r\n}\r\n\r\n.wide-story-navigation-chapter-up {\r\n opacity: .5\r\n}\r\n\r\n.wide-story-navigation-chapter-up:hover,.wide-story-navigation-chapter-down:hover {\r\n cursor: pointer\r\n}\r\n\r\n.wide-story-navigation-chapter-up-icon,.wide-story-navigation-chapter-down-icon {\r\n background-image: url(../../images/sprites/stories-wide-sprite.png);\r\n height: 38px;\r\n margin: 0 auto;\r\n width: 38px\r\n}\r\n\r\n.wide-story-navigation-chapter-up-icon {\r\n background-position: -342px -38px\r\n}\r\n\r\n.wide-story-navigation-chapter-down-icon {\r\n background-position: -342px 0\r\n}\r\n\r\n.wide-story-navigation-chapter-item-wrap {\r\n overflow-x: hidden;\r\n overflow-y: hidden;\r\n position: relative\r\n}\r\n\r\n.wide-story-navigation-chapter-grad-top,.wide-story-navigation-chapter-grad-bot {\r\n height: 130px;\r\n left: 0;\r\n position: absolute;\r\n width: 280px;\r\n z-index: 10016\r\n}\r\n\r\n.wide-story-navigation-chapter-grad-top {\r\n background: url(../../images/bg-gradient-black-trans.png) top left repeat-x;\r\n display: none;\r\n pointer-events: none;\r\n top: 0\r\n}\r\n\r\n.wide-story-navigation-chapter-grad-bot {\r\n background: url(../../images/bg-gradient-trans-black.png) bottom left repeat-x;\r\n bottom: 0;\r\n pointer-events: none\r\n}\r\n\r\n.wide-story-navigation-chapter-overflow-wrap {\r\n position: absolute\r\n}\r\n\r\n.wide-story-navigation-chapter-bg {\r\n background-position: center center;\r\n background-repeat: no-repeat;\r\n background-size: cover;\r\n display: none;\r\n height: 100%;\r\n left: 0;\r\n position: absolute;\r\n top: 0;\r\n width: 100%;\r\n filter: blur(5px);\r\n -webkit-filter: blur(5px);\r\n -moz-filter: blur(5px);\r\n -o-filter: blur(5px);\r\n -ms-filter: blur(5px);\r\n transform: scale(1.2);\r\n -ms-transform: scale(1.2);\r\n -webkit-transform: scale(1.2)\r\n}\r\n\r\n.site-footer {\r\n background: #f6f6f6;\r\n padding: 20px 0 0\r\n}\r\n\r\n#overlay .site-footer {\r\n background: 0\r\n}\r\n\r\n.footer {\r\n min-height: 44px;\r\n margin: 0 auto;\r\n position: relative;\r\n width: 840px\r\n}\r\n\r\n.lt-ie9 .footer {\r\n background: #efefef\r\n}\r\n\r\n.site-footer-fixed-width {\r\n margin: 0 auto;\r\n width: 960px\r\n}\r\n\r\n.utility {\r\n width: 840px;\r\n margin: 0 auto;\r\n padding: 0;\r\n text-align: center\r\n}\r\n\r\n.utility>.util-li {\r\n clear: none;\r\n display: inline-block;\r\n list-style: none;\r\n margin-right: 11px\r\n}\r\n\r\n.utility>.util-li.first {\r\n margin-left: 0\r\n}\r\n\r\n.utility>.util-li.last {\r\n margin-right: 0\r\n}\r\n\r\n.utility>.util-li>a {\r\n width: 95px;\r\n display: block;\r\n font: 400 12px arial,sans-serif;\r\n color: #464646;\r\n padding: 96px 0 0;\r\n text-align: center;\r\n transition: color .15s;\r\n position: relative\r\n}\r\n\r\n.utility>.util-li .big-icon {\r\n position: absolute;\r\n height: 86px;\r\n top: 0;\r\n left: 6px;\r\n bottom: 0;\r\n right: 0;\r\n width: 84px;\r\n background: url(../../images/components/utility-btns.png) no-repeat\r\n}\r\n\r\n.utility>.util-li>a:hover {\r\n color: #000\r\n}\r\n\r\n.utility>.util-li .subscribe>.big-icon {\r\n margin-left: 0;\r\n background-position: 8px -3px\r\n}\r\n\r\n.utility>.util-li .subscribe:hover>.big-icon {\r\n background-position: 8px -115px\r\n}\r\n\r\n.utility>.util-li .usatshop>.big-icon {\r\n background-position: -78px -3px\r\n}\r\n\r\n.utility>.util-li .usatshop:hover>.big-icon {\r\n background-position: -78px -115px\r\n}\r\n\r\n.utility>.util-li .apps>.big-icon {\r\n background-position: -157px -3px\r\n}\r\n\r\n.utility>.util-li .apps:hover>.big-icon {\r\n background-position: -157px -115px\r\n}\r\n\r\n.utility>.util-li .rss>.big-icon {\r\n background-position: -230px -3px\r\n}\r\n\r\n.utility>.util-li .rss:hover>.big-icon {\r\n background-position: -230px -115px\r\n}\r\n\r\n.utility>.util-li .youreport>.big-icon {\r\n background-position: -308px -3px\r\n}\r\n\r\n.utility>.util-li .youreport:hover>.big-icon {\r\n background-position: -308px -115px\r\n}\r\n\r\n.utility>.util-li .mobile>.big-icon {\r\n background-position: -383px -3px\r\n}\r\n\r\n.utility>.util-li .mobile:hover>.big-icon {\r\n background-position: -383px -115px\r\n}\r\n\r\n.utility>.util-li .contactus>.big-icon {\r\n background-position: -481px -3px\r\n}\r\n\r\n.utility>.util-li .contactus:hover>.big-icon {\r\n background-position: -481px -115px\r\n}\r\n\r\n.utility>.util-li .feedback>.big-icon {\r\n background-position: -570px -3px\r\n}\r\n\r\n.utility>.util-li .feedback:hover>.big-icon {\r\n background-position: -570px -115px\r\n}\r\n\r\n.utility>.util-li .feedback-generic>.big-icon {\r\n background-position: -357px -224px\r\n}\r\n\r\n.utility>.util-li .feedback-generic:hover>.big-icon {\r\n background-position: -357px -336px\r\n}\r\n\r\n.utility>.util-li .aboutus>.big-icon {\r\n margin-left: 0;\r\n background-position: 3px -225px\r\n}\r\n\r\n.utility>.util-li .aboutus:hover>.big-icon {\r\n background-position: 3px -337px\r\n}\r\n\r\n.utility>.util-li .help>.big-icon {\r\n margin-left: 0;\r\n background-position: -93px -224px\r\n}\r\n\r\n.utility>.util-li .help:hover>.big-icon {\r\n background-position: -93px -336px\r\n}\r\n\r\n.utility>.util-li .connectwithus>.big-icon {\r\n margin-left: 0;\r\n background-position: -180px -224px\r\n}\r\n\r\n.utility>.util-li .connectwithus:hover>.big-icon {\r\n background-position: -180px -336px\r\n}\r\n\r\n.utility>.util-li .newsletters>.big-icon {\r\n background-position: -481px -330px\r\n}\r\n\r\n.utility>.util-li .newsletters:hover>.big-icon {\r\n background-position: -481px -219px\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .site-footer-var-width {\r\n width:1080px\r\n }\r\n}\r\n\r\n@media only screen and (min-width: 1250px) {\r\n .site-footer-var-width {\r\n width:1180px\r\n }\r\n}\r\n\r\n.third-party .site-footer-var-width {\r\n width: 840px\r\n}\r\n\r\n.footer>nav {\r\n clear: both;\r\n padding-bottom: 20px\r\n}\r\n\r\n.footer .footer-edge {\r\n background: url(../../images/footer-edge.png) repeat-x;\r\n height: 7px;\r\n position: absolute;\r\n top: -7px;\r\n width: 100%\r\n}\r\n\r\n.site-footer-legal-links {\r\n color: #999;\r\n float: left;\r\n font-size: 12px;\r\n margin: 50px 0;\r\n padding: 0;\r\n position: relative;\r\n text-align: center;\r\n text-shadow: 0 1px 0 #100100100;\r\n line-height: 1.4;\r\n width: 100%\r\n}\r\n\r\n.site-footer-legal-link {\r\n color: #333;\r\n padding: 0 7px;\r\n transition: color .15s\r\n}\r\n\r\n.site-footer-legal-link:hover {\r\n color: #007ccf\r\n}\r\n\r\n.footer>p.copyright {\r\n color: #999;\r\n float: left;\r\n font-size: 11px;\r\n margin: 0;\r\n padding: 22px 0 0 20px;\r\n position: relative;\r\n text-shadow: 0 1px 0 #100100100;\r\n top: -7px;\r\n line-height: 1.4;\r\n width: auto\r\n}\r\n\r\n.footer>p.copyright.copyright-with-nav {\r\n padding-left: 7px\r\n}\r\n\r\n.footer>p.site-index {\r\n color: #333;\r\n cursor: pointer;\r\n display: none;\r\n float: right;\r\n font-size: 12px;\r\n font-weight: 700;\r\n margin: 14px 20px 13px 0;\r\n padding-right: 30px;\r\n position: relative;\r\n text-shadow: 0 1px 0 #100100100;\r\n line-height: 1.4;\r\n width: auto\r\n}\r\n\r\n.footer>p.site-index:hover {\r\n color: #007ccf\r\n}\r\n\r\n.footer>p.site-index .arrow {\r\n background: url(../../images/footer.png) no-repeat;\r\n height: 24px;\r\n margin: 0 0 0 3px;\r\n position: absolute;\r\n right: 0;\r\n overflow: hidden;\r\n text-indent: 105%;\r\n white-space: nowrap;\r\n top: -3px;\r\n width: 24px\r\n}\r\n\r\n.footer>p.site-index:hover>.arrow {\r\n background-position: 0 -25px\r\n}\r\n\r\n.footer>p.site-index:active>.arrow {\r\n background-position: 0 -50px\r\n}\r\n\r\n.footer>p.site-index.open>.arrow {\r\n background-position: 0 -75px\r\n}\r\n\r\n.footer>p.site-index.open:hover>.arrow {\r\n background-position: 0 -100px\r\n}\r\n\r\n.footer>p.site-index.open:active>.arrow {\r\n background-position: 0 -125px\r\n}\r\n\r\n.footer>nav>.fronts {\r\n display: table;\r\n margin-top: 60px;\r\n overflow: hidden;\r\n padding: 30px 0 40px;\r\n width: 100%\r\n}\r\n\r\n.footer>nav>.fronts>section {\r\n display: table-cell;\r\n padding-bottom: 5px;\r\n width: 179px\r\n}\r\n\r\n.footer>nav>.fronts>section:last-child {\r\n border-right: 0\r\n}\r\n\r\n.footer>nav>.fronts>section h6 {\r\n border-top: 2px solid #009bff;\r\n font-family: 'Futura Today Light',Arial,sans-serif;\r\n margin: -30px 0 20px 0;\r\n padding: 15px 20px 0 0\r\n}\r\n\r\n.site-footer-partner-logos {\r\n clear: both;\r\n display: table;\r\n position: relative;\r\n width: 100%\r\n}\r\n\r\n.site-footer-partner-logo {\r\n display: table-cell;\r\n padding-top: 20px;\r\n text-align: center\r\n}\r\n\r\n.site-footer-partner-logo-image {\r\n width: 85px\r\n}\r\n\r\n.footer>nav section h6 {\r\n margin: 0 0 15px 20px\r\n}\r\n\r\n.footer>nav section h6 a,.footer>nav section h6 a:visited {\r\n color: #2e2e2e;\r\n font-size: 16px;\r\n font-weight: 700;\r\n transition: color .15s\r\n}\r\n\r\n.footer>nav section h6 a:hover {\r\n color: #007ccf\r\n}\r\n\r\n.site-footer-list {\r\n margin: 0 20px 0 0\r\n}\r\n\r\n.site-footer-list-item {\r\n margin: 0 0 10px;\r\n line-height: 1\r\n}\r\n\r\n.footer>nav section li.footer-more-item {\r\n display: none\r\n}\r\n\r\n.footer>nav section li.footer-more-item.footer-more-trigger {\r\n display: block\r\n}\r\n\r\n.site-footer-list-link,.site-footer-list-link:visited {\r\n color: #464646;\r\n font-size: 12px;\r\n transition: color .15s\r\n}\r\n\r\n.site-footer-list-link:hover {\r\n color: #007ccf\r\n}\r\n\r\n.footer>nav>section.services,.footer>nav>section.partners {\r\n padding: 0 0 30px\r\n}\r\n\r\n.site-footer-meta {\r\n clear: left;\r\n float: left;\r\n margin: 0 0 40px;\r\n width: 40%\r\n}\r\n\r\n.site-footer-meta-text {\r\n color: #999;\r\n clear: left;\r\n float: left;\r\n font-size: 11px;\r\n margin: 20px 0 0;\r\n width: 210px\r\n}\r\n\r\n.site-footer-logo {\r\n float: left;\r\n margin: 0 60px 40px 0;\r\n position: relative;\r\n white-space: nowrap;\r\n width: 240px\r\n}\r\n\r\n.site-footer-social-icon-list {\r\n clear: left;\r\n float: left;\r\n list-style: none;\r\n margin-left: -5px;\r\n overflow: hidden;\r\n width: 210px\r\n}\r\n\r\n.site-footer-social-icon-item {\r\n float: left;\r\n clear: none;\r\n list-style: none;\r\n width: 40px\r\n}\r\n\r\n.site-footer-social-icon-link {\r\n border-radius: 4px;\r\n display: inline-block;\r\n height: 20px;\r\n position: relative;\r\n padding: 5px;\r\n transition: background-color .15s\r\n}\r\n\r\n.site-footer-social-icon-link:hover {\r\n background: #fff\r\n}\r\n\r\n.site-footer-social-icon-link:after {\r\n background-image: url(../../images/sprites/footer-sprite.png);\r\n content: '';\r\n display: block;\r\n position: relative\r\n}\r\n\r\n@media only screen and (-webkit-min-device-pixel-ratio: 2),only screen and (min-device-pixel-ratio:2),only screen and (min-resolution:2dppx) {\r\n .site-footer-social-icon-link:after {\r\n background-image:url(../../images/sprites/footer-sprite@2x.png);\r\n background-size: 300px 200px\r\n }\r\n}\r\n\r\n.site-footer-social-icon-link-soc-fb:after {\r\n background-position: 0 0;\r\n height: 20px;\r\n width: 10px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-tw:after {\r\n background-position: -16px 0;\r\n height: 20px;\r\n width: 23px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-in:after {\r\n background-position: -45px 0;\r\n height: 20px;\r\n width: 20px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-gp:after {\r\n background-position: -71px 0;\r\n height: 20px;\r\n width: 19px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-tm:after {\r\n background-position: -95px 0;\r\n height: 20px;\r\n width: 14px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-yt:after {\r\n background-position: -112px 0;\r\n height: 14px;\r\n width: 20px;\r\n top: 3px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-pt:after {\r\n background-position: -135px 0;\r\n height: 20px;\r\n width: 20px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-li:after {\r\n background-position: -158px 0;\r\n height: 20px;\r\n width: 22px\r\n}\r\n\r\n.site-footer-social-icon-link-soc-rss:after {\r\n background-position: -183px 0;\r\n height: 20px;\r\n width: 20px\r\n}\r\n\r\n.site-footer-section-services {\r\n float: left;\r\n width: 40%\r\n}\r\n\r\n.footer>nav>section.services h3,.footer>nav>section.partners h3,.site-footer-link-list-heading {\r\n color: #2e2e2e;\r\n margin: 10px 20px 20px 0;\r\n font-size: 16px;\r\n font-family: 'Futura Today Light',Arial,sans-serif\r\n}\r\n\r\n.site-footer-link-list-heading {\r\n margin-top: 0\r\n}\r\n\r\n.footer>nav>section.services ul,.footer>nav>section.partners ul {\r\n float: left;\r\n width: 42%;\r\n margin: 0 10px 0 0\r\n}\r\n\r\n.footer>nav>section.partners ul {\r\n width: 90%\r\n}\r\n\r\n.footer>nav>section.services ul:last-child {\r\n margin: 0\r\n}\r\n\r\n.lt-ie9 .footer>nav>section.services ul {\r\n width: 42%\r\n}\r\n\r\n.site-footer-section-partners {\r\n float: left;\r\n width: 20%\r\n}\r\n\r\n.site-footer-link-lists {\r\n display: table;\r\n margin: 0 0 20px;\r\n width: 60%\r\n}\r\n\r\n.site-footer-link-list-section {\r\n display: table-cell;\r\n width: 175px\r\n}\r\n\r\n@media only screen and (min-width: 1150px) {\r\n .site-footer-meta-var-width.site-footer-meta {\r\n width:50%\r\n }\r\n \r\n .site-footer-meta-text-var-width.site-footer-meta-text,.site-footer-social-icon-list-var-width.site-footer-social-icon-list {\r\n clear: none\r\n }\r\n \r\n .site-footer-section-services-var-width.site-footer-section-services {\r\n width: 33.3%\r\n }\r\n \r\n .site-footer-section-partners-var-width.site-footer-section-partners {\r\n width: 16.6%\r\n }\r\n \r\n .site-footer-link-lists-var-width.site-footer-link-lists {\r\n width: 50%\r\n }\r\n}\r\n\r\n.third-party .footer>nav {\r\n display: block\r\n}\r\n\r\n.third-party .footer .site-index {\r\n display: none\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart {\r\n width: 100%\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart .xAxis,.chart-wrapper.interactive.line-chart .yAxis {\r\n text-transform: none;\r\n font-size: 12px;\r\n color: #666\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart .graph {\r\n width: 90%;\r\n height: 300px;\r\n position: relative;\r\n margin: 0 auto\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart .chart-area {\r\n width: 96%;\r\n padding: 0 2% 10%;\r\n background: #fff\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart .chart-content {\r\n width: 100%\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart ul.row-1,.chart-wrapper.interactive.line-chart ul.row-2 {\r\n float: left;\r\n width: auto\r\n}\r\n\r\n.chart-wrapper.interactive.line-chart ul.row-1 li {\r\n width: auto;\r\n margin-right: 35px;\r\n float: left;\r\n white-space: nowrap\r\n}\r\n\r\n.line-chart .chart-area-footer {\r\n bottom: 0;\r\n color: #999;\r\n font-size: 16px;\r\n font-weight: 400;\r\n left: 0;\r\n margin: 6px 10px 10px 15px\r\n}\r\n\r\n.line-chart .chart-area-footer .source,.line-chart .chart-area-footer .credit,.line-chart .chart-area-footer .footnotes {\r\n bottom: 0;\r\n color: #999;\r\n font-size: 10px;\r\n font-weight: 400;\r\n line-height: 15px;\r\n margin: 0;\r\n padding: 0\r\n}\r\n\r\n.cf {\r\n zoom: 1\r\n}\r\n\r\n.cf:before,.cf:after {\r\n content: \"\";\r\n display: table\r\n}\r\n\r\n.cf:after {\r\n clear: both\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-html.txt",
|
||
"content": "<!DOCTYPE HTML>\r\n<!--\r\n\tComments are overrated\r\n-->\r\n<html>\r\n<head>\r\n\t<title>HTML Sample</title>\r\n\t<style type=\"text/css\">\r\n\t\th1 {\r\n\t\t\tcolor: #CCA3A3;\r\n\t\t}\r\n\t\tbody {\r\n\t\t}\r\n\t</style>\r\n\t<script type=\"text/javascript\">\r\n\t\twindow.alert(\"I am a sample...\");\r\n\t</script>\r\n</head>\r\n<body>\r\n\t<h1>Heading No.1</h1>\r\n\t<input disabled type=\"button\" value=\"Click me\" />\r\n</body>\r\n</html>\r\n"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-js.txt",
|
||
"content": "/*\r\n © Microsoft. All rights reserved.\r\n\r\nhttps://microsoft.com\r\nblablahttp://en.wikipedia.org/wiki/Timisoara bla bla\r\nblabla\thttp://en.wikipedia.org/wiki/Timisoara bla bla\r\n\r\n This library is supported for use in Windows Tailored Apps only.\r\n\r\n Build: 6.2.8100.0\r\n Version: 0.5\r\n*/\r\n\r\nvar קודמות = \"מיותר קודמות צ'ט של, אם לשון העברית שינויים ויש, אם\";\r\n\r\n'a string\\\r\non multiple lines';\r\n(function (global, undefined) {\r\n\t\"use strict\";\r\n\tundefinedVariable = {}; undefinedVariable.bar = 5;\r\n\tundefinedVariable.foo = 5; undefinedVariable.baz = 10;\r\n\r\n function initializeProperties(target, members) {\r\n var keys = Object.keys(members);\r\n var properties;\r\n var i, len;\r\n for (i = 0, len = keys.length; i < len; i++) {\r\n var key = keys[i];\r\n var enumerable = key.charCodeAt(0) !== /*_*/95;\r\n var member = members[key];\r\n if (member && typeof member === 'object') {\r\n if (member.value !== undefined || typeof member.get === 'function' || typeof member.set === 'function') {\r\n if (member.enumerable === undefined) {\r\n member.enumerable = enumerable;\r\n }\r\n properties = properties || {};\r\n properties[key] = member;\r\n continue;\r\n }\r\n }\r\n if (!enumerable) {\r\n properties = properties || {};\r\n properties[key] = { value: member, enumerable: enumerable, configurable: true, writable: true }\r\n continue;\r\n }\r\n target[key] = member;\r\n }\r\n if (properties) {\r\n Object.defineProperties(target, properties);\r\n }\r\n }\r\n\r\n (function (rootNamespace) {\r\n\r\n // Create the rootNamespace in the global namespace\r\n if (!global[rootNamespace]) {\r\n global[rootNamespace] = Object.create(Object.prototype);\r\n }\r\n\r\n // Cache the rootNamespace we just created in a local variable\r\n var _rootNamespace = global[rootNamespace];\r\n if (!_rootNamespace.Namespace) {\r\n _rootNamespace.Namespace = Object.create(Object.prototype);\r\n }\r\n\r\n function defineWithParent(parentNamespace, name, members) {\r\n /// <summary locid=\"1\">\r\n /// Defines a new namespace with the specified name, under the specified parent namespace.\r\n /// </summary>\r\n /// <param name=\"parentNamespace\" type=\"Object\" locid=\"2\">\r\n /// The parent namespace which will contain the new namespace.\r\n /// </param>\r\n /// <param name=\"name\" type=\"String\" locid=\"3\">\r\n /// Name of the new namespace.\r\n /// </param>\r\n /// <param name=\"members\" type=\"Object\" locid=\"4\">\r\n /// Members in the new namespace.\r\n /// </param>\r\n /// <returns locid=\"5\">\r\n /// The newly defined namespace.\r\n /// </returns>\r\n var currentNamespace = parentNamespace,\r\n namespaceFragments = name.split(\".\");\r\n\r\n for (var i = 0, len = namespaceFragments.length; i < len; i++) {\r\n var namespaceName = namespaceFragments[i];\r\n if (!currentNamespace[namespaceName]) {\r\n Object.defineProperty(currentNamespace, namespaceName,\r\n { value: {}, writable: false, enumerable: true, configurable: true }\r\n );\r\n }\r\n currentNamespace = currentNamespace[namespaceName];\r\n }\r\n\r\n if (members) {\r\n initializeProperties(currentNamespace, members);\r\n }\r\n\r\n return currentNamespace;\r\n };\r\n\r\n function define(name, members) {\r\n /// <summary locid=\"6\">\r\n /// Defines a new namespace with the specified name.\r\n /// </summary>\r\n /// <param name=\"name\" type=\"String\" locid=\"7\">\r\n /// Name of the namespace. This could be a dot-separated nested name.\r\n /// </param>\r\n /// <param name=\"members\" type=\"Object\" locid=\"4\">\r\n /// Members in the new namespace.\r\n /// </param>\r\n /// <returns locid=\"5\">\r\n /// The newly defined namespace.\r\n /// </returns>\r\n return defineWithParent(global, name, members);\r\n }\r\n\r\n // Establish members of the \"WinJS.Namespace\" namespace\r\n Object.defineProperties(_rootNamespace.Namespace, {\r\n\r\n defineWithParent: { value: defineWithParent, writable: true, enumerable: true },\r\n\r\n define: { value: define, writable: true, enumerable: true }\r\n\r\n });\r\n\r\n })(\"WinJS\");\r\n\r\n (function (WinJS) {\r\n\r\n function define(constructor, instanceMembers, staticMembers) {\r\n /// <summary locid=\"8\">\r\n /// Defines a class using the given constructor and with the specified instance members.\r\n /// </summary>\r\n /// <param name=\"constructor\" type=\"Function\" locid=\"9\">\r\n /// A constructor function that will be used to instantiate this class.\r\n /// </param>\r\n /// <param name=\"instanceMembers\" type=\"Object\" locid=\"10\">\r\n /// The set of instance fields, properties and methods to be made available on the class.\r\n /// </param>\r\n /// <param name=\"staticMembers\" type=\"Object\" locid=\"11\">\r\n /// The set of static fields, properties and methods to be made available on the class.\r\n /// </param>\r\n /// <returns type=\"Function\" locid=\"12\">\r\n /// The newly defined class.\r\n /// </returns>\r\n constructor = constructor || function () { };\r\n if (instanceMembers) {\r\n initializeProperties(constructor.prototype, instanceMembers);\r\n }\r\n if (staticMembers) {\r\n initializeProperties(constructor, staticMembers);\r\n }\r\n return constructor;\r\n }\r\n\r\n function derive(baseClass, constructor, instanceMembers, staticMembers) {\r\n /// <summary locid=\"13\">\r\n /// Uses prototypal inheritance to create a sub-class based on the supplied baseClass parameter.\r\n /// </summary>\r\n /// <param name=\"baseClass\" type=\"Function\" locid=\"14\">\r\n /// The class to inherit from.\r\n /// </param>\r\n /// <param name=\"constructor\" type=\"Function\" locid=\"9\">\r\n /// A constructor function that will be used to instantiate this class.\r\n /// </param>\r\n /// <param name=\"instanceMembers\" type=\"Object\" locid=\"10\">\r\n /// The set of instance fields, properties and methods to be made available on the class.\r\n /// </param>\r\n /// <param name=\"staticMembers\" type=\"Object\" locid=\"11\">\r\n /// The set of static fields, properties and methods to be made available on the class.\r\n /// </param>\r\n /// <returns type=\"Function\" locid=\"12\">\r\n /// The newly defined class.\r\n /// </returns>\r\n if (baseClass) {\r\n constructor = constructor || function () { };\r\n var basePrototype = baseClass.prototype;\r\n constructor.prototype = Object.create(basePrototype);\r\n Object.defineProperty(constructor.prototype, \"_super\", { value: basePrototype });\r\n Object.defineProperty(constructor.prototype, \"constructor\", { value: constructor });\r\n if (instanceMembers) {\r\n initializeProperties(constructor.prototype, instanceMembers);\r\n }\r\n if (staticMembers) {\r\n initializeProperties(constructor, staticMembers);\r\n }\r\n return constructor;\r\n } else {\r\n return define(constructor, instanceMembers, staticMembers);\r\n }\r\n }\r\n\r\n function mix(constructor) {\r\n /// <summary locid=\"15\">\r\n /// Defines a class using the given constructor and the union of the set of instance members\r\n /// specified by all the mixin objects. The mixin parameter list can be of variable length.\r\n /// </summary>\r\n /// <param name=\"constructor\" locid=\"9\">\r\n /// A constructor function that will be used to instantiate this class.\r\n /// </param>\r\n /// <returns locid=\"12\">\r\n /// The newly defined class.\r\n /// </returns>\r\n constructor = constructor || function () { };\r\n var i, len;\r\n for (i = 0, len = arguments.length; i < len; i++) {\r\n initializeProperties(constructor.prototype, arguments[i]);\r\n }\r\n return constructor;\r\n }\r\n\r\n // Establish members of \"WinJS.Class\" namespace\r\n WinJS.Namespace.define(\"WinJS.Class\", {\r\n define: define,\r\n derive: derive,\r\n mix: mix\r\n });\r\n\r\n })(WinJS);\r\n\r\n})(this);"
|
||
},
|
||
{
|
||
"name": "run-editor-sample-msn-js.txt",
|
||
"content": "(function(n){function t(n,i,r){return typeof n==\"number\"&&(t(i)?n>=i:!0)&&(t(r)?n<=r:!0)}function i(n,i){return typeof n==\"string\"&&(t(i)?n.length>=i:!0)}var r=n.isArray;n.extend({isNumber:t,isString:i,isObject:function(n){return typeof n==\"object\"&&n!==null},isDefined:function(n){return typeof n!=\"undefined\"},isArray:function(n,i){return r(n)&&(t(i)?n.length>=i:!0)}})})($vxp),function(n){function i(i){var u={},f,e,r;if(n.isString(i,1)){f=arguments.length>1?n.makeArray(arguments).slice(1).join(\"|\"):\"\\\\w+\",e=new RegExp(\"\\\\b(\"+f+\")=([^&#]+)\",\"ig\");while(r=e.exec(i))u[r[1][t]()]=r[2]}return u}function r(t,r){var e=n.param(n.extend(i(t),u(r))),f=/\\b[^?]*/g.exec(t).join(\"\");return f=e?f+\"?\"+e:f}function u(n){var r={},i;for(i in n)r[i[t]()]=n[i];return r}var t=\"toLowerCase\";n.extend({queryString:{setParam:r,getParam:i}})}($vxp),function(){String.prototype.format=function(){for(var t=this,n=0;n<arguments.length;++n)t=t.replace(new RegExp(\"\\\\{\"+n+\"\\\\}\",\"g\"),arguments[n]);return t}}();(function(n){var i;n.isDefined(window.MsnVideoUx)||(window.MsnVideoUx={}),n.vxpGlobal||(n.vxpGlobal={});var t=window.MsnVideoUx,e=[],r=[],o={},s=function(){return window.navigator.userAgent.match(/iPad/i)},u=function(){return/MSIE (\\d+\\.\\d+)/.test(window.navigator.userAgent)?new Number(RegExp.$1):/\\(IE (\\d+\\.\\d+)/.test(window.navigator.userAgent)?new Number(RegExp.$1):0},h=function(){return u()>0},nt=function(){return u()>=10},f,g=function(){var r,e;if(undefined==f){f=!1;var t=null,i=window.navigator.plugins,n=\"Shockwave Flash\",u=window.ActiveXObject;if(i&&i.length)t=i[n]||i[n+\" 2.0\"],t&&t.description&&(f=!0);else if(u)for(n=n.replace(\" \",\"\")+\".\",r=15;r>2;--r)try{t=new u(n+n+r),e=parseActiveXVersion(getActiveXVersion(t)),f=!0;break}catch(o){}}return f},it=function(n){for(var t in n)n[t]=encodeURIComponent(c(n[t]));return n},c=function(n,t){var i=\"\",e,u,o,f,r;if(n instanceof Array){for(e=t,t.charAt(t.length-1)==\"s\"&&(i+=\"<\"+t+\">\",e=t.substr(0,t.length-1)),u=0;u<n.length;u++)i+=c(n[u],e);t.charAt(t.length-1)==\"s\"&&(i+=\"</\"+t+\">\")}else if(n instanceof Object){t&&t!=\"$\"&&t!=\"value\"&&(i+=\"<\"+t),o=\"\",f={};for(r in n)r.indexOf(\"$\")!=0||r==\"$\"||r==\"value\"?o+=c(n[r],r):f[r.substr(1,r.length-1)]=n[r];if(t&&t!=\"$\"&&t!=\"value\"){for(r in f)i+=\" \"+r+'=\"'+tt(f[r])+'\"';i+=\">\"}i+=o,t&&t!=\"$\"&&t!=\"value\"&&(i+=\"</\"+t+\">\")}else n!=null&&(t&&t!=\"$\"&&t!=\"value\"&&(i+=\"<\"+t+\">\"),i+=k(n.toString()),t&&t!=\"$\"&&t!=\"value\"&&(i+=\"</\"+t+\">\"));return i},k=function(n){return n.replace(/&/g,\"&\").replace(/</g,\"<\").replace(/>/g,\">\").replace(/\\\"/g,\""\").replace(/'/g,\"'\")},tt=function(n){return n.replace(/\\\"/g,\""\")},l=function(t,i){n.initHub(t,i)},a=function(){for(var n=0;n<e.length;n++)window.Msn.Video.createWidget2(e[n]);e=[]},p=function(n){var i=document.getElementsByTagName(\"head\")[0],t=document.createElement(\"link\");t.setAttribute(\"rel\",\"stylesheet\"),t.setAttribute(\"type\",\"text/css\"),t.setAttribute(\"href\",n),i.appendChild(t)},y=function(n){var i=document.getElementsByTagName(\"head\")[0],t=document.createElement(\"style\");t.setAttribute(\"type\",\"text/css\"),t.styleSheet?t.styleSheet.cssText=n:t.appendChild(document.createTextNode(n)),i.appendChild(t)},v=function(){var t=n(\"#vxp_css_load_indicator\"),i;return t.length==0&&(n(\"<meta>\",{id:\"vxp_css_load_indicator\",name:\"vxp_css_load_indicator\",content:\"vxp_css_load_indicator\"}).appendTo(\"head\"),t=n(\"#vxp_css_load_indicator\")),i=t.css(\"font-size\"),i==\"8px\"},b=function(){window.MsnVideoUxStylesRequested||!window.MsnVideoUx.versionedFiles||v()||(window.MsnVideoUxStylesRequested=!0,p(window.MsnVideoUx.versionedFiles.css))},w=function(n){window.MsnVideoUxPaletteStylesRequested||(window.MsnVideoUxPaletteStylesRequested=!0,p(n))},d=function(n){var t=setInterval(function(){v()&&(clearInterval(t),n())},100)};b(),t.render=function(t,i,r,u,f,e){function k(){var k=document.getElementById(i),u=n.queryString.getParam(document.location.href.toString()),b,c;delete u.id,delete u.csid,delete u.type,delete u.width,delete u.preview,u.vxpenv&&(o=u.vxpenv),u.usehtml5&&(n.vxpGlobal.UseHtml5=!0),u.mmvLite&&(n.vxpGlobal.MmvLite=!0),l&&(u.overrideId=l),y(\".ux.hub{display:none;}\"),b=n.extend(u,it(r)),o.indexOf(\"http\")!=0&&(o=\"http://\"+o),s=\"{0}/hub/{1}/?rt=script&siteId={2}&divId={3}&loadCss={4}&csid={5}&pageUrl={6}&type={7}\".format(o,t,encodeURIComponent(a),encodeURIComponent(i),v,h,encodeURIComponent(p),w);for(c in b)c.toLowerCase()!=\"siteid\"&&(s+=\"&\"+c+\"=\"+b[c]);n.ajax({url:s,type:\"GET\",dataType:\"jsonp\",success:function(t){f?f(t.html):n(\"#\"+i).html(t.html)},error:function(){e&&e()}})}var c;i||(i=t),r||(r={}),u||(u={});var b=document.location.href.indexOf(\"https\")==0,o=u.hubDomain?u.hubDomain:b?\"https://hubs-video.msn.com\":\"http://hub.video.msn.com\",h=u.csid?u.csid:\"\",l=u.overrideId,a=u.siteId?u.siteId:u.siteUrl?u.siteUrl:document.location.href.toString().split(\"?\")[0],p=u.pageUrl?u.pageUrl:document.location.href.toString(),v=n.isDefined(u.loadCss)?u.loadCss:!0,w=u.type?u.type:\"\",s;h&&(a=\"\"),n.isHub||(v=!1),n.vxpGlobal.csid=h,c=n(\"#\"+i).find(\"div.ux.hub\"),c.widgetDispose&&c.widgetDispose(),k()},t.remove=function(t){var i=n(\"#\"+t).find(\"div.ux.hub\");i.widgetDispose&&i.widgetDispose(),n(\"#\"+t).html(\"\")},t.launchOverlayPlayer=function(i,r,f,e,c,l,a){var ft,k,p,tt,b,v,it,rt,ut,w;f||(f={}),e||(e={});for(ft in f)l=!0;if(n.vxpGlobal.overlayPlayerState&&f.DynamicInitialVideoId){k=n(\"div.vxp_heroPlayerVideoModule\");if(k.length==1){n.fireEvent(\"playVideo\",{id:f.DynamicInitialVideoId},k.eq(0).attr(\"id\"));return}}(s()||nt()&&!g())&&(f.UseHtml5=!0),f.UseHtml5&&(n.vxpGlobal.UseHtml5=!0),f.MmvLite&&(n.vxpGlobal.MmvLite=!0),c||(n(\"#vxpOverlay\").length==0&&(p=n(\"<div class='vxpOverlay' id='vxpOverlay' style='position: absolute; width: 100%; top: 0px; left: 0px; z-index: 2147483647' />\"),n(\"body\").append(p),tt=s()?\"position: absolute; width: \"+n(\"body\").width()+\"px; height: \"+n(\"body\").height()+\"px; \":\"position: fixed; width: 100%; height: 100%; \",b=n(\"<div class='vxpOverlayBackground' id='vxpOverlayBackground' style='\"+tt+\"top: 0px; left: 0px; background-color: #000000; -webkit-transition-duration: 500ms; opacity: 0;' />\"),b.css(\"opacity\",0),p.append(b),v=(e.hubDomain?e.hubDomain:\"img1.video.s-msn.com\")+\"/i/heroPlayer/loader.gif\",v.indexOf(\"http\")==-1&&(it=document.location.href.indexOf(\"https\")==0,v=(it?\"https://\":\"http://\")+v),rt=n(document).scrollTop(),ut=Math.floor((n(document).width()-40)/2),v=n(\"<div id='vxpOverlaySpinner' style='background-image: url(\"+v+\"); position: absolute; margin: auto; width: 40px; height: 40px; top: \"+(rt+280)+\"px; left: \"+ut+\"px' />\"),p.append(v),w=n(\"<div id='vxpOverlayContent' style='position: absolute; width: 100%; left: 0px; z-index: 2147483647; cursor: pointer' />\"),w.css(\"top\",n(document).scrollTop()),!n.vxpGlobal.UseHtml5||h()&&u()<9||w.css(\"opacity\",0),p.append(w),b.click(t.closeOverlayPlayer),n.vxpGlobal.UseHtml5&&w.bind(s()?\"touchstart\":\"click\",function(i){var r=n(\".vxp_heroPlayerContainer\"),u=r.offset().top;i.pageY<u&&t.closeOverlayPlayer()}),y(\".vxp_overlayHostShell.hub{display:none;}\")),n(\"body\").addClass(\"vxp_mmv_active\"),a&&n(\"body\").addClass(\"vxp_mmv_hide_embeds\"),n(\"#vxpOverlay\").css(\"display\",\"block\"),n(\"#vxpOverlayContent\").html(\"\"),n(\"#vxpOverlayContent\").css(\"top\",n(document).scrollTop()),n(\"#vxpOverlaySpinner\").show(),setTimeout(function(){var t=n(\"#vxpOverlayBackground\");n.vxpGlobal.UseHtml5?t.css(\"opacity\",.6):(h()&&u()<9||n(\"#vxpOverlayContent\").css(\"opacity\",1),t.animate({opacity:.6},250))},0),n.vxpGlobal.overlayPlayerState=\"launching\"),o[i]?c||setTimeout(function(){n(\"#vxpOverlayContent\").html(o[i].html)},500):(e.csid=r,e.type=\"multimediaViewer\",f.DynamicInitialVideoId&&(f.DynamicInitialPlayerQuery=\"videobyuuids.aspx?uuids=\"+f.DynamicInitialVideoId),t.render(i,\"vxpOverlayContent\",f,e,function(t){l||(o[i]={dataConfig:f,serviceConfig:e,html:t}),!c&&n.vxpGlobal.overlayPlayerState&&d(function(){n(\"#vxpOverlayContent\").html(t)},t)},function(){t.closeOverlayPlayer()}))},t.closeOverlayPlayer=function(){n.fireEvent&&n.fireEvent(\"mmvClose\"),n.vxpGlobal.overlayPlayerState=\"\",h()&&u()<9||n(\"#vxpOverlayContent\").css(\"opacity\",0),setTimeout(function(){var t=n(\"div.vxp_heroPlayer\"),i;t.length>0&&(t.mmvSendMessage&&t.mmvSendMessage(\"close\"),t.widgetDispose&&t.widgetDispose()),i=n(\"#vxpOverlayBackground\"),n.vxpGlobal.UseHtml5?i.css(\"opacity\",0):(n(\"#vxpOverlayContent .vxp_heroPlayerContainer\").html(\"\"),i.animate({opacity:0},500)),setTimeout(function(){n(\"body\").removeClass(\"vxp_mmv_active\").removeClass(\"vxp_mmv_hide_embeds\"),n(\"#vxpOverlay\").css(\"display\",\"none\"),n(\"#vxpOverlayContent\")[0]&&(n(\"#vxpOverlayContent\")[0].innerHTML=\"\")},1e3)},0),window.MsnVideo2&&MsnVideo2.sendMessage({type:\"overlayClosed\"})},t.preloadOverlayPlayer=function(n,i,r,u){t.launchOverlayPlayer(n,i,r,u,!0)},t.createExternalWidget=function(n){e.push(n),window.Msn&&window.Msn.Video&&window.Msn.Video.createWidget2&&setTimeout(function(){a()},0)},t.initialize=function(t,i){function s(){n.initHub?(l(i.videoServiceUrl,u),h()):window.MsnVideoUxPostScriptRequested?r.push({videoServiceUrl:i.videoServiceUrl,hubDivId:u}):(window.MsnVideoUxPostScriptRequested=!0,n.ajax({type:\"GET\",url:i.postScriptUrl,dataType:\"script\",cache:!0,success:function(){setTimeout(function(){l(i.videoServiceUrl,u),h()},0)}}))}function h(){for(var t,n=0;n<r.length;n++)t=r[n],l(t.videoServiceUrl,t.hubDivId);r=[]}var u=t+\"_ux1\",f=n(\"#\"+u),c=f.find(\".vxp_singleContainer_Flexible\").length>0,e=f.find(\".externalWidget\").length>0,o=f.attr(\"data-editable\")==\"true\";(e||o)&&(window.Msn&&window.Msn.Video&&window.Msn.Video.createWidget2?a():window.MsnVideoUxWidgetEmbedScriptRequested||(window.MsnVideoUxWidgetEmbedScriptRequested=!0,n.ajax({type:\"GET\",url:i.widgetEmbedScriptUrl,dataType:\"script\",cache:!0,success:function(){setTimeout(function(){a()},0)}}))),e&&c&&!o?n(\"div.uxVideo\").css(\"display\",\"block\"):(w(i.paletteCssUrl),window.jQueryWait?s():window.MsnVideoUxPreScriptRequested?r.push({videoServiceUrl:i.videoServiceUrl,hubDivId:u}):(window.MsnVideoUxPreScriptRequested=!0,n.ajax({type:\"GET\",url:i.preScriptUrl,dataType:\"script\",cache:!0,success:function(){var n=setInterval(function(){undefined!=window.jQueryWait&&(clearInterval(n),s())},50)}})))};if(t.bootstrap){for(i=0;i<t.renderQueue.length;i++)t.render(t.renderQueue[i].hubId,t.renderQueue[i].divId,t.renderQueue[i].dataConfig,t.renderQueue[i].serviceConfig);for(i=0;i<t.createExternalWidgetQueue.length;i++)t.createExternalWidget(t.createExternalWidgetQueue[i].params);for(i=0;i<t.initializeQueue.length;i++)t.initialize(t.initializeQueue[i].hubId,t.initializeQueue[i].resources)}})($vxp);jQueryWait(function(n){n.fn.createFlash=function(t){var i,e,r,u,o,f;t.attr=t.attr||{},t.param=t.param||{},i=n.extend(!0,{},n.fn.createFlash.defaults,{attr:{data:t.param.movie},param:{movie:t.attr.data}},t);if(i.param.movie){u=\"<object \";for(o in i.attr)u+=o+'=\"'+i.attr[o]+'\" ';u+=\">\",r=[u];for(f in i.param)i.param[f]!=null&&r.push('<param name=\"',f,'\" value=\"',i.param[f],'\"/>');r.push(\"</object>\"),e=r.join(\"\")}return this.each(function(){e&&(n(this)[0].innerHTML=e)})},n.fn.createFlash.defaults={version:5,attr:{type:\"application/x-shockwave-flash\",width:363,height:170},param:{wmode:\"transparent\",quality:\"high\"}}});document&&document.body&&(document.body.className+=isPluginsUnsupported||isBrowserSafari?\" noPlugin\":\" plugin\"),jQueryWait(function(n){var s={},h={},o={},t={},u={},f=[],e=[],r,c,l={},a=n.isHub,v=0,y={},i;n.encodeXmlAttribute=function(n){return n?n.replace(/\\&/g,\"&\").replace(/\\</g,\"<\").replace(/\\>/g,\">\").replace(/'/g,\"'\"):\"\"},n.decodeXmlAttribute=function(n){return n?n.replace(/\\&/g,\"&\").replace(/\\</g,\"<\").replace(/\\>/g,\">\").replace(/\\'/g,\"'\"):\"\"},n.registerWidget=function(n,t,i){for(var u=n.split(\",\"),r=0;r<u.length;r++)s[u[r]]=t,undefined!=i&&(h[u[r]]=i)},n.registerDispose=function(n,t){o[t]=n},n.dispose=function(t){n.isFunction(o[t])?o[t]():null,o[t]=null},n.initBegin=function(){var t,i,u;a||(t=window.location.href,i=t.indexOf(\"#\"),t=i>0?t.substring(0,i):t,c=n.setUrlParam(t,\"rt\",\"ajax\"),_scriptUrl=n.setUrlParam(t,\"rt\",\"script\"),r=n(document).getWidgets(),u=!0,n.initContent(u))},n.initHub=function(t,i){var f=n(\"#\"+i),e=f.getConfig(\"HubId\"),u,r;for(l[i]=n.qsps(t),u=f.getWidgets(),r=0;r<u.length;r++)n(u[r]).widgetInit();n(\"#\"+i).find(\".vxp_scrollable\").each(function(){n.updateScrolling(n(this))}),n.pageIsReady=!0,n.fireEvent(\"pageReady\"),n.fireEvent(\"widgetRefreshComplete\",i),n(\"#\"+i).trigger(\"componentReady\"),n(\"#\"+i).data(\"componentReady\",!0)},n.initContent=function(t){if(t){for(var i=0;i<r.length;++i)n(r[i]).widgetInit();n.initComplete()}else r.length>0?(n(r[0]).widgetInit(),r=r.slice(1,r.length),setTimeout(n.initContent,10)):n.initComplete()},n.initComplete=function(){var t,o,a,r,b,i,c;n.vxpClearFind(\".uXPage, .ux.hub\"),t=n.getPageWidget(),t.getConfig(\"BuildType\")==\"debug\"&&(n(document.body).append('<div class=\"uxDebug\"><ol></ol></div>'),n(\".environmentData\").show()),o=t.getConfig(\"BingVerticalName\"),a=t.getConfig(\"CookieDomain\"),n.cookieSetup(a,o);var f,h=n.cookie(\"vidodb\"),p=n.cookie(\"vidosp\"),l=t.getConfig(\"OmnitureSampling\");(null==p||p!=l)&&(h=null);if(document.location.href.toString().indexOf(\"omniture=true\")!=-1)f=!1;else if(document.location.href.toString().indexOf(\"omniture=false\")!=-1)f=!0;else if(null!=h)f=h==\"1\";else{var g=n.cookie(\"sample\"),v=parseInt(g),it=isNaN(v)?Math.random()*100:v;f=it>parseInt(l)}n.cookie(\"vidodb\",f?\"1\":\"0\",182),n.cookie(\"vidosp\",l,182),r=document.location.pathname,o==\"videos\"&&(r=r.substr(o.length+1));var u=r,s=!0,k=null;\"/\"==u?u=\"home\":0==u.indexOf(\"/watch/\")?(i=u.substr(7),i=i.substr(0,i.indexOf(\"/\")),u=\"/watch/\"+i+\"/\",s=!1):n.vxpFind(\".vxp_player\").length>0&&(s=!1),n(\".errorTemplate\").length>0&&(s=!0,k=\"error\");var y=r.split(\"/\"),e=y.length>1?y[1]:\"\",w=t.getConfig(\"Product\")||\"MSN Video\";(r==\"/\"||r==\"\")&&(e=\"home\"),b=t.getConfig(\"Department\")||e,i=t.getConfig(\"OmnitureChannelName\")+\"-\"+t.getConfig(\"DI\"),n.reportSetup({path:r,od:f,suite:t.getConfig(\"OmnitureReportSuite\"),ps:t.getConfig(\"PS\"),pi:t.getConfig(\"PI\"),di:t.getConfig(\"DI\"),mkt:t.getConfig(\"Market\"),pn:u,cn:i,dpt:t.getConfig(\"Department\"),st:t.getConfig(\"SearchQuery\"),sc:n(\".searchCount\").text(),pv:s,pt:k,vid:t.getConfig(\"videoId\"),pr:w,prop5:e,prop10:document.title,prop47:t.getConfig(\"AdPageGroup\"),prop7:\"browse\"});var nt=t.getConfig(\"Market\").toLowerCase(),tt=t.getConfig(\"DI\"),d=\"http://c.msn.com/c.gif?\",l=document.location.href.toString().indexOf(\"omniture=true\")!=-1?99:9;n.track({trackInfoOpts:{sitePage:{product:w,server:document.domain,lang:nt,siteDI:tt,sitePI:\"0\",sitePS:\"0\",pagename:u,dept:b,sdept:\"\",pgGrpId:t.getConfig(\"AdPageGroup\"),cntType:e,title:document.title,ch:i,srchQ:\"\"},userStatic:{signedIn:\"false\",age:\"\",gender:\"\"}},spinTimeout:150}).register(new n.track.genericTracking({base:\"http://udc.msn.com/c.gif?\",linkTrack:1,samplingRate:99,commonMap:{event:{evt:\"type\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"},client:{clid:\"clientId\"}},impr:{param:{evt:\"impr\"},paramMap:{client:{rf:\"referrer\",bh:\"height\",bw:\"width\",sl:\"silverlightEnabled\",slv:\"silverlightVersion\",scr:\"screenResolution\",sd:\"colorDepth\"},userDynamic:{hp:\"isHomePage\"},userStatic:{pp:\"signedIn\",bd:\"age\",gnd:\"gender\"},sitePage:{pr:\"product\",cu:\"server\",mk:\"lang\",di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\",pn:\"pagename\",pid:\"pageId\",\"st.dpt\":\"dept\",\"dv.pgGrpId\":\"pgGrpId\",\"dv.Title1\":\"title\",\"dv.contnTp\":\"cntType\",mv:\"pgVer\",q:\"srchQ\"}}},click:{paramMap:{report:{hl:\"headline\",ce:\"contentElement\",cm:\"contentModule\",du:\"destinationUrl\"}}},unload:{}}),new n.track.genericTracking({base:\"http://b.scorecardresearch.com/b?\",linkTrack:0,impr:{param:{c1:\"2\",c2:\"3000001\"},paramMap:{client:{c7:\"pageUrl\",c9:\"referrer\"},userDynamic:{rn:\"timeStamp\"}}}}),new n.track.genericTracking({base:d,linkTrack:0,impr:{param:{udc:\"true\"},paramMap:{client:{rf:\"referrer\",tp:\"pageUrl\"},sitePage:{di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"}}}})),n.track.trackPage(),c=function(){n.cookie(\"vidref\",document.location.href.toString())},window.addEventListener?window.addEventListener(\"unload\",c,!1):window.attachEvent(\"onunload\",c),u.indexOf(\"/watch/\")==-1&&n.cookie(\"q\",null),n.browser.msie&&parseInt(n.browser.version,10)<7&&n(\".uXPage\").height()<700&&n(\".uXPage\").height(700),n.pageIsReady=!0,n.fireEvent(\"pageReady\")},n.log=function(t){n(\".uxDebug ol\").append(\"<li>\"+t+\"</li>\")},n.format=function(n){var i,t,r,u=0;while(!i){i=!0,t=\"{\"+u+\"}\",r=n.indexOf(t);while(r!=-1)i=!1,n=n.replace(t,arguments[u+1]),r=n.indexOf(t);u++}return n},n.qsps=function(n,t){var o={},i,r,e,u,f;if(n){i=n.split(\"#\"),qIndex=i[0].indexOf(\"?\");if(i.length!=-1)for(i=i[0].substr(qIndex+1).split(\"&\"),r=0;r<i.length;r++)e=i[r].indexOf(\"=\"),e!=-1&&(u=i[r].substr(0,e),f=i[r].substr(e+1),u&&f&&(f=f.replace(/\\+/g,\"%20\"),t&&(u=u.toLowerCase()),o[u]=f))}return o},n.isLetterOrDigit=function(n){return n>=\"0\"&&n<=\"9\"||n>=\"A\"&&n<=\"Z\"||n>=\"a\"&&n<=\"z\"},n.parseUTCDate=function(n){var t=parseInt(n.substring(0,4),10),i=parseInt(n.substring(5,7),10)-1,r=parseInt(n.substring(8,10),10),u=parseInt(n.substring(11,13),10),f=parseInt(n.substring(14,16),10),e=parseInt(n.substring(17,19),10);return new Date(Date.UTC(t,i,r,u,f,e))},n.urlTagEncode=function(n){for(var i,r,o,u=\"\",f=\"+?*&%:/\\\\<>.#$@\",e=160,t=0;t<n.length;++t)r=n.charAt(t),i=f.indexOf(r),u+=0<=i?String.fromCharCode(e+i):r;return encodeURIComponent(u)},n.setUrlParam=function(t,i,r){var u={};return u[i]=r,n.setUrlParams(t,u)},n.setUrlParams=function(t,i,r){var f=n.qsps(t,!0),o,e,u;if(i)for(u in i)r&&f[u.toLowerCase()]||(f[u.toLowerCase()]=i[u]),i[u]==null&&delete f[u];o=t.split(\"?\"),t=o[0],e=!0;for(u in f)t+=e?\"?\":\"&\",e=!1,t+=u+\"=\"+f[u];return t},n.toJsonString=function(t,i){var r=\"\",u,f,e,o;if(t instanceof Array||\"array\"==typeof t){for(r+=\"[\",u=0;u<t.length;u++)u!=0&&(r+=\", \"),r+=n.toJsonString(t[u],i);r+=\"]\"}else if(t instanceof Object||\"object\"==typeof t){r+=\"{\",f=!0;for(e in t)f||(r+=\", \"),f=!1,r+=e+\": \"+n.toJsonString(t[e],i);r+=\"}\"}else t instanceof String||\"string\"==typeof t?i?(o=t.replace(/[\\\\\"\\x00-\\x1F\\u0080-\\uFFFF]/g,function(n){switch(n){case\"\\b\":return\"\\\\b\";case\"\\t\":return\"\\\\t\";case\"\\n\":return\"\\\\n\";case\"\\f\":return\"\\\\f\";case\"\\r\":return\"\\\\r\";case'\"':return'\\\\\"';case\"\\\\\":return\"\\\\\\\\\"}return\"\\\\u\"+(\"0000\"+n.charCodeAt(0).toString(16)).slice(-4)}),r+='\"'+o+'\"'):r+='\"'+t+'\"':r+=t==undefined?'\"\"':t.toString();return r},n.toXmlString=function(t,i){var r=\"\",o,f,s,e,u;if(t instanceof Array){for(o=i,i[i.length-1]==\"s\"&&(r+=\"<\"+i+\">\",o=i.substr(0,i.length-1)),f=0;f<t.length;f++)r+=n.toXmlString(t[f],o);i[i.length-1]==\"s\"&&(r+=\"</\"+i+\">\")}else if(t instanceof Object){i&&i!=\"$\"&&i!=\"value\"&&(r+=\"<\"+i),s=\"\",e={};for(u in t)u.indexOf(\"$\")!=0||u==\"$\"||u==\"value\"?s+=n.toXmlString(t[u],u):e[u.substr(1,u.length-1)]=t[u];if(i&&i!=\"$\"&&i!=\"value\"){for(u in e)r+=\" \"+u+'=\"'+n.encodeAttr(e[u])+'\"';r+=\">\"}r+=s,i&&i!=\"$\"&&i!=\"value\"&&(r+=\"</\"+i+\">\")}else t!=null&&(i&&i!=\"$\"&&i!=\"value\"&&(r+=\"<\"+i+\">\"),r+=n.encodeXml(t.toString()),i&&i!=\"$\"&&i!=\"value\"&&(r+=\"</\"+i+\">\"));return r},n.encodeXml=function(n){return n.replace(/&/g,\"&\").replace(/</g,\"<\").replace(/>/g,\">\").replace(/\\\"/g,\""\").replace(/'/g,\"'\")},n.encodeAttr=function(n){return n.replace(/\\\"/g,\""\")},n.asArray=function(n){return n instanceof Array?n:[n]},n.getPageWidget=function(){return n.vxpFind(\".uXPage, .ux.hub\")},n.extend(n.easing,{easeInCubic:function(n,t,i,r,u){return r*(t/=u)*t*t+i},easeOutCubic:function(n,t,i,r,u){return r*((t=t/u-1)*t*t+1)+i},easeInOutCubic:function(n,t,i,r,u){return(t/=u/2)<1?r/2*t*t*t+i:r/2*((t-=2)*t*t+2)+i}}),i={},n.vxpFind=function(t,r){var u=null,f;return r?r.attr(\"id\")&&(u=r.attr(\"id\")):u=\"global\",u&&i[u]&&i[u][t]?i[u][t]:(f=r?r.find(t):n(t),u&&(i[u]||(i[u]={}),i[u][t]=f),f)},n.vxpClearFind=function(n,t){var r=null;t?t.attr(\"id\")&&(r=t.attr(\"id\")):r=\"global\",r==\"global\"&&i[r]?delete i[r][n]:r&&i[r]&&delete i[r]},n.fn.first||(n.fn.first=function(){return this.eq(0)}),n.fn.vxpFind=function(t){return n.vxpFind(t,n(this))},n.fn.vxpFindId=function(t){var i=n(this).attr(\"id\");return n(\"#\"+i+\"_\"+t)},n.fn.vxpClearFind=function(t){n.vxpClearFind(t,n(this))},n.fn.getWidgets=function(){return n(this).find(\".ux\").add(n(this).filter(\".ux\"))},n.fn.widgetInit=function(){return this.each(function(){var r,i,u;n(this).attr(\"data-lazy\")?n(this).widgetRefresh():(r=n(this).attr(\"id\"),delete t[r],i=n(this).attr(\"data-type\"),u=n(this).attr(\"data-init\"),u||(s[i]&&s[i](this),n(this).attr(\"data-init\",1),n(this).fireEvent(\"isReady\"),n(this).trigger(\"isReady\"),n(this).data(\"isReady\",!0)),n.browser.msie&&parseInt(n.browser.version,10)<7&&parseInt(n.browser.version,10)>4&&n(this).find(\".ie6png\").each(function(){var i=n(this),t=i.css(\"background-image\"),r,u;t.length>7&&(r=t.substring(5,t.length-2),u={filter:\"progid:DXImageTransform.Microsoft.AlphaImageLoader(src='\"+r+\"', sizingMethod='scale')\",\"background-image\":\"none\"},i.css(u))}))})},n.fn.loadConfigs=function(){var node=this,data=n(this).attr(\"data-clientConfig\"),id,k;data&&(data=n.decodeXmlAttribute(data)),eval(\"data=\"+data),id=n(this).attr(\"id\"),t[id]=t[id]||{};for(k in data)t[id][k.toLowerCase()]=data[k];t[id].clientConfigReady=!0},n.fn.setConfig=function(i,r,f,e){var o=n(this).attr(\"id\"),s=t[o];e||s&&s.clientConfigReady||n(this).loadConfigs(),null!=i&&\"\"!=i&&(i=i.toLowerCase(),t[o]=t[o]||{},u[o]=u[o]||{},null==r?(delete t[o][i],delete u[o][i]):(t[o][i]=r,(f||undefined==f)&&(u[o][i]=r)))},n.fn.getConfig=function(i){var u=n(this).attr(\"id\"),r=t[u];return r&&r.clientConfigReady||(n(this).loadConfigs(),r=t[u]),i=i.toLowerCase(),r&&r[i]!=undefined?r[i]:null},n.fn.getConfigs=function(i){var f=n(this).attr(\"id\"),r=t[f];return r&&r.clientConfigReady||(n(this).loadConfigs(),r=t[f]),(i?u[f]:r)||{}},n.fn.getSerializedConfigs=function(i,r){var b=n(this).attr(\"id\"),e=t[b],l,w,s,v,k,y,f,a,o,c,nt,d,p;e&&e.clientConfigReady||(n(this).loadConfigs(),e=t[b]),l=u[b]||{},!l.videoid&&e.videoid&&document.location.href.toString().indexOf(\"edit=true\")==-1&&(l.videoid=e.videoid),w=(i?l:e)||{},s={};if(r){var h=[n(this)],g={},tt=n(this).find(\".ux\");for(f=tt.length-1;f>=0;f--)h.push(tt.eq(f));for(v=n(this).parents(\".ux\"),f=v.length-1;f>=0;f--){k=v.eq(f).getSerializedConfigs(i,!1);for(y in k)s[y]=n.toXmlString(k[y]);h.push(v.eq(f))}for(f=0;f<h.length;f++)if(h[f].attr(\"data-dependencies\"))for(a=h[f].attr(\"data-dependencies\").split(\",\"),o=0;o<a.length;o++)g[a[o]]=a[o];c=\"\",nt=!0;for(d in g)nt||(c+=\",\"),c+=d;s.dependencies=c}for(p in w)s[p]=n.toXmlString(w[p]);return s},n.fn.fireEvent=function(t,i,r){var f=n(this).groupId(),e=n(this).attr(\"id\"),u=n(r).getConfig(\"TargetId\");(u||!r)&&n.fireEvent(t,i,u,e,f)},n.fn.subscribeEvent=function(t,i,r){var u=n(this).groupId(),f=n(this).attr(\"id\"),e=r?n(r).attr(\"id\"):null;n.subscribeEvent(t,i,f,e,u)},n.fn.subscribeReadyEvent=function(t,i){n(this).subscribeEvent(\"isReady\",i,t)},n.fn.groupId=function(){return n(this).parents(\".hub\").getConfig(\"GroupId\")||\"default\"},n.fn.isReady=function(){return n(this).attr(\"data-init\")==\"1\"},n.fn.unsubscribeEvents=function(){var t=n(this).attr(\"id\");n.unsubscribeEvents(t)},n.fn.registerDispose=function(t){var i=n(this).attr(\"id\");n.registerDispose(t,i)},n.fn.dispose=function(){var t=n(this).attr(\"id\");n.dispose(t)},n.fn.cancelPendingRequests=function(){var t=n(this).attr(\"id\");t&&(f=n.grep(f,function(n){var i=n.id.indexOf(t)==0;return i&&n&&n.xhr&&n.xhr.abort&&n.xhr.abort(),!i}))},n.fn.cancelPendingScripts=function(){var t=n(this).attr(\"id\");t&&(e=n.grep(e,function(n){var i=n.id.indexOf(t)==0;return i&&n&&n.xhr&&n.xhr.abort&&n.xhr.abort(),!i}))},n.fn.widgetRefresh=function(t,i,r){var p,u,w,h,e,b;if(n.isHub||n(this).parents(\".hub\").length>0)return n(this).widgetRefreshScript(t,null);var l=n(this).attr(\"id\"),a=this,u=n(this).parent(),s=null,y=r||c,k=n.getPageWidget().getConfig(\"FDLoggingEnabled\")&&typeof _G==\"object\",d=n.qsps(document.location.href.toString()),o=n.qsps(y);if(k){u=this;while(!p&&u)p=n(u).attr(\"data-insertId\"),u=n(u).length&&n(u)[0].tagName!=\"BODY\"?n(u).parent():null;p&&(o.IG=_G.IG,o.IID=p,o.SFX=v++)}else o.IID=\"1\";if(l){n(this).cancelPendingRequests(),n(this).cancelPendingScripts(),n(this).setConfig(\"id\",l),w=n(this).getSerializedConfigs(!0,!0),h=d;for(e in o)try{h[e]=decodeURIComponent(o[e])}catch(g){h[e]=o[e]}for(e in w)try{h[e]=decodeURIComponent(w[e])}catch(g){h[e]=w[e]}b=y.split(\"?\"),y=encodeURI(decodeURI(b[0])),s=n.ajax({url:y,dataType:\"html\",data:h,success:function(r){f=n.grep(f,function(n){return n.xhr!=s}),n(a).widgetDispose().replaceWith(r),n(u).getWidgets().widgetInit(),n.isFunction(t)?t():\"\",a=u=s=t=i=null,n.fireEvent(\"widgetRefreshComplete\",l)},error:function(){f=n.grep(f,function(n){return n.xhr!=s}),n.isFunction(i)?i():n.log(\"Ajax Error\"),a=u=s=t=i=null}}),a&&f.push({id:l,xhr:s})}},n.fn.widgetRefreshScript=function(t){var o=n(this).attr(\"id\"),y=this,w=n(this).parent(),u,a,i,p,v,h;if(o){var s=n(this).hasClass(\"hub\")?n(this):n(this).parents(\".ux.hub\").eq(0),b=s.getConfig(\"HubId\"),k=s.getConfig(\"HubDomain\"),d=s.attr(\"id\"),f=l[d]||{};f.hubId=b,f.rt=\"script\",n(this).setConfig(\"id\",o),n(this).cancelPendingRequests(),n(this).cancelPendingScripts(),u=k+\"/hub/id\";var g=n.qsps(document.location.href.toString()),c=n(this).getSerializedConfigs(!0,!0),r=g;for(i in f){a=i.toLowerCase();try{r[a]=decodeURIComponent(f[i])}catch(nt){r[a]=f[i]}}for(i in c)try{r[i]=decodeURIComponent(c[i])}catch(nt){r[i]=c[i]}delete r.callback,delete r._,p=u.split(\"?\"),u=p[0];for(v in r)u=n.setUrlParam(u,v,encodeURIComponent(r[v]));h=n.ajax({url:u,type:\"GET\",dataType:\"jsonp\",success:function(i){i&&(e=n.grep(e,function(n){return n.xhr!=h}),n(y).widgetDispose().replaceWith(i.html),n(w).getWidgets().widgetInit(),n.isFunction(t)?t():\"\",y=w=t=null,n.fireEvent(\"widgetRefreshComplete\",o))}}),e.push({id:o,xhr:h})}},n.fn.widgetDispose=function(){var t=n(this).getWidgets(),i=n(this).attr(\"id\");return t.each(function(){var t=n(this).attr(\"id\");i!=t&&delete u[t],n(this).dispose(),n(this).unbind(),n(this).unsubscribeEvents(),n(this).vxpClearFind()}),n(this)},n.fn.isViewable=function(){var t={x:n(window).scrollLeft(),y:n(window).scrollTop(),w:n(window).width(),h:n(window).height()},i={x:n(this).offset().left,y:n(this).offset().top};return i.x<t.x+t.w&&i.x>t.x&&i.y<t.y+t.h&&i.y>t.y},n.fn.scrollTo=function(){var t=n(this).offset().top;n(\"html, body\").animate({scrollTop:t},\"slow\")},n.fn.setSizedText=function(t,i,r,u){var f,e,s,o;r||(r=\"\"),f=r.substr(0,u),e=n(t).data(\"_height\"),undefined==e&&(e=parseInt(t.css(\"ellipsis-height\")),e||(e=parseInt(t.css(\"max-height\"))),n(t).data(\"_height\",e));if(e){i.text(f),t.css(\"height\",\"auto\"),t.css(\"max-height\",\"none\"),s=t.css(\"white-space\"),t.css(\"white-space\",\"normal\");if(t.height()>e)while(f.length>0){o=f.lastIndexOf(\" \"),f=o!=-1?f.substr(0,o):f.substr(0,f.length-1),(f.charAt(f.length-1)==\".\"||f.charAt(f.length-1)==\",\")&&(f=f.substr(0,f.length-1)),i.text(f+\"... \");if(t.height()<=e)break}t.css(\"white-space\",s)}},n.fn.swipe=function(n,t){function u(n){n.touches&&n.touches.length?i=n.touches[0].pageX:(alert(n.touches),alert(n.clientX),alert(n.screenX))}function f(n){n.touches&&n.touches.length&&(r=n.touches[0].pageX),n.preventDefault()}function e(){var f=i-r;alert(\"coord=\"+i+\",\"+r),f>25&&n(),f<-25&&t()}var i=0,r=0;this.addEventListener&&(this.addEventListener(\"touchstart\",u,!1),this.addEventListener(\"touchmove\",f,!1),this.addEventListener(\"touchend\",e,!1))},n.fn.updateScrolling=function(){n(this).find(\".vxp_scrollable\").each(function(){n.updateScrolling(n(this))})},n.fn.setLoadingMode=function(t){n(this).toggleClass(\"loading\",t)},n.fn.refresh=function(t){var u=n(this).attr(\"data-type\"),r=h[u],i;undefined!=r&&(t=r(n(this),t));for(i in t)n(this).setConfig(i,t[i]);n(this).widgetRefresh()}}),jQueryWait(function(n){n(document).ready(n.initBegin)});(function(n){var t;n.vxpGlobal.geo={},n.vxpGlobal.geo.isReady=function(){return t?!0:!1},n.vxpGlobal.geo.data=function(){return{country:t[0],countryFlags:t[1],market:t[2],marketEnabled:t[3]==\"True\"}},n.subscribeEvent(\"pageReady\",function(){var u=n.cookie(\"vidgeo\"),r,i;u&&(t=u.split(\"|\"),t.length!=4?t=null:n.fireEvent(\"geoDataReady\")),t||(r=n.getPageWidget().getConfig(\"UserServiceUrl\"),i=n.qsps(window.location.href.toString()),i.responseEncoding=\"json\",i.uxmkt=n.getPageWidget().getConfig(\"Market\"),delete i.mkt,delete i.search,delete i.vid,delete i.searchterm,n.getJSON(r+\"user/info?callback=?\",i,function(i){if(i&&i.user){var r=i.user.country,u=i.user.market;t=[r.name.$,r.flags.$,u.name.$,u.enabled.$],n.cookie(\"vidgeo\",t.join(\"|\"),1),n.fireEvent(\"geoDataReady\")}}))})})($vxp);(function(n){n.vxpGlobal.playlist={};var t=[],u=20,i=function(){var i=t.join(\",\");n.cookie(\"vxp_playlist_ids\",i)},f=function(){var i=n.cookie(\"vxp_playlist_ids\");i&&(t=i.split(\",\"))},r=function(n){for(var r=-1,i=0;i<t.length;i++)if(t[i]==n.id){r=i;break}return r};n.vxpGlobal.playlist.add=function(f){var e=r(f);return e!=-1?\"exists\":t.length==u?\"max\":(e=t.length,t.push(f.id),i(),n.fireEvent(\"playlistVideoAdded\",{video:f,index:e}),\"added\")},n.vxpGlobal.playlist.remove=function(u){var f=n.isNumber(u)?u:r(u);return f==-1?\"missing\":(t.splice(f,1),i(),n.fireEvent(\"playlistVideoRemoved\",{index:f}),\"removed\")},n.vxpGlobal.playlist.getAll=function(){return t},n.vxpGlobal.playlist.removeAll=function(){t=[],i(),n.fireEvent(\"playlistCleared\")},n.vxpGlobal.playlist.exists=function(){return t.length>0},n.vxpGlobal.playlist.count=function(){return t.length},f()})($vxp);jQueryWait(function(n){n.vxpGlobal.smartPool={};var t,i,r=function(n,t){var r=n,u=!0,i,f;for(i in t)r+=(u?\"?\":\"&\")+i+\"=\"+t[i],u=!1;f=new Image,f.src=r},u=function(n){var i={},t;for(t in n)i[t]=n[t];return i};n.vxpGlobal.smartPool.ping=function(f,e,o){var l=f.split(\"?\")[0],s=n.qsps(f),a=document.location.href.toString().substr(0,300),v=document.referrer.substr(0,300),h,c;s.pl=encodeURIComponent(a),s.rl=encodeURIComponent(v),h=n.qsps(\"?\"+decodeURIComponent(s.tk)),h.vid&&(s.vid=h.vid),c=u(s),o.click&&(e==\"cp\"?s.c1=o.click:s.c=e==\"pg\"?o.click:s.c1=o.click),o.views&&o.views.length>0&&(s.v=o.views.join(\",\")),(s.c||s.c1||s.v)&&r(l,s),t&&i&&!o.views&&(r(t,i),t=i=null),e!=\"pg\"&&o.click&&(c.p1=o.click,t=l,i=c)},n.vxpGlobal.smartPool.getTargetingKey=function(t,i){var r=t+\"&callbackName=?\",u=document.location.href.toString().substr(0,300),f=document.referrer.substr(0,300);n.getJSON(r,{pl:u,rl:f,responseEncoding:\"json\"},function(n){i(n.tk)})}});jQueryWait(function(n){function f(){if(typeof n==\"undefined\")var n={};n.beacon=function(n){var r;if(!n)return;var o=1.7,u=document,f=u.location,e=512,t=function(n,t){return n==null?\"\":(n=(encodeURIComponent||escape)(n),t&&(n=n.substr(0,t)),n)},i=[f.protocol==\"https:\"?\"https://sb\":\"http://b\",\".scorecardresearch.com/b?\",\"c1=\",t(n.c1),\"&c2=\",t(n.c2),\"&rn=\",Math.random(),\"&c7=\",t(f.href,e),\"&c4=\",t(n.c4,e),\"&c8=\",t(u.title),\"&c9=\",t(n.c9)].join(\"\");return i=i.length>2080?i.substr(0,2075)+\"&ct=1\":i,r=new Image,r.onload=function(){},r.src=i,i},n.beacon({c1:2,c2:3000001,c4:document.location.href,c9:document.referrerRefreshTrcking})}var t,i=!1,r=null,u=!1;n.reportSetImpl=function(n){t=n},n.reportClick=function(n){t&&t.reportClick(n)},n.reportPageView=function(n){t&&t.reportPageView(n)},n.initTrack=function(t){var p=t||r,s,e;r=p;var i=n.getPageWidget(),h=i.getConfig(\"Market\").toLowerCase(),o=i.getConfig(\"DI\"),c=\"http://c.msn.com/c.gif?\",l=i.getConfig(\"BingVerticalName\"),f=document.location.pathname;l==\"videos\"&&(f=f.substr(l.length+1)),t=t||f,s=f.split(\"/\"),e=s.length>1?s[1]:\"\",(f==\"/\"||f==\"\")&&(e=\"home\");var a=i.getConfig(\"Department\")||e,v=i.getConfig(\"ChannelName\")+\"-\"+o,y=i.getConfig(\"Product\")||\"MSN Video\";u?n.track({trackInfoOpts:{sitePage:{product:y,server:document.domain,lang:h,siteDI:o,sitePI:\"0\",sitePS:\"0\",pagename:t,dept:a,sdept:\"\",pgGrpId:i.getConfig(\"AdPageGroup\"),cntType:e,title:document.title,ch:v,srchQ:\"\"},userStatic:{signedIn:\"false\",age:\"\",gender:\"\"}},spinTimeout:150}).register(new n.track.genericTracking({base:\"http://udc.msn.com/c.gif?\",linkTrack:1,samplingRate:99,commonMap:{event:{evt:\"type\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"},client:{clid:\"clientId\"}},impr:{param:{evt:\"impr\"},paramMap:{client:{rf:\"referrer_slideshow\",bh:\"height\",bw:\"width\",sl:\"silverlightEnabled\",slv:\"silverlightVersion\",scr:\"screenResolution\",sd:\"colorDepth\"},userDynamic:{hp:\"isHomePage\"},userStatic:{pp:\"signedIn\",bd:\"age\",gnd:\"gender\"},sitePage:{pr:\"product\",cu:\"server\",mk:\"lang\",di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\",pn:\"pagename\",pid:\"pageId\",\"st.dpt\":\"dept\",\"dv.pgGrpId\":\"pgGrpId\",\"dv.Title1\":\"title\",\"dv.contnTp\":\"cntType\",mv:\"pgVer\",q:\"srchQ\"}}},click:{paramMap:{report:{hl:\"headline\",ce:\"contentElement\",cm:\"contentModule\",du:\"destinationUrl\"}}},unload:{}}),new n.track.genericTracking({base:c,linkTrack:0,impr:{param:{udc:\"true\"},paramMap:{client:{rf:\"referrer_slideshow\",tp:\"pageUrl\"},sitePage:{di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"}}}})):n.track({trackInfoOpts:{sitePage:{product:y,server:document.domain,lang:h,siteDI:o,sitePI:\"0\",sitePS:\"0\",pagename:t,dept:a,sdept:\"\",pgGrpId:i.getConfig(\"AdPageGroup\"),cntType:e,title:document.title,ch:v,srchQ:\"\"},userStatic:{signedIn:\"false\",age:\"\",gender:\"\"}},spinTimeout:150}).register(new n.track.genericTracking({base:\"http://udc.msn.com/c.gif?\",linkTrack:1,samplingRate:99,commonMap:{event:{evt:\"type\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"},client:{clid:\"clientId\"}},impr:{param:{evt:\"impr\"},paramMap:{client:{rf:\"referrer\",bh:\"height\",bw:\"width\",sl:\"silverlightEnabled\",slv:\"silverlightVersion\",scr:\"screenResolution\",sd:\"colorDepth\"},userDynamic:{hp:\"isHomePage\"},userStatic:{pp:\"signedIn\",bd:\"age\",gnd:\"gender\"},sitePage:{pr:\"product\",cu:\"server\",mk:\"lang\",di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\",pn:\"pagename\",pid:\"pageId\",\"st.dpt\":\"dept\",\"dv.pgGrpId\":\"pgGrpId\",\"dv.Title1\":\"title\",\"dv.contnTp\":\"cntType\",mv:\"pgVer\",q:\"srchQ\"}}},click:{paramMap:{report:{hl:\"headline\",ce:\"contentElement\",cm:\"contentModule\",du:\"destinationUrl\"}}},unload:{}}),new n.track.genericTracking({base:\"http://b.scorecardresearch.com/b?\",linkTrack:0,impr:{param:{c1:\"2\",c2:\"3000001\"},paramMap:{client:{c7:\"pageUrl\",c9:\"referrer\"},userDynamic:{rn:\"timeStamp\"}}}}),new n.track.genericTracking({base:c,linkTrack:0,impr:{param:{udc:\"true\"},paramMap:{client:{rf:\"referrer\",tp:\"pageUrl\"},sitePage:{di:\"siteDI\",pi:\"sitePI\",ps:\"sitePS\"},userDynamic:{rid:\"requestId\",cts:\"timeStamp\"}}}}))},n.reportCircularModuleLoad=function(t){var f,u,e,r,i,o;$.track.recipients||n.initTrack(),f={evt:\"xnet\",xnet:\"vicross\"},$.extend(!0,f,t);if(typeof $.track!=\"undefined\"&&typeof $.track.recipients!=\"undefined\"){for(u=0;u<$.track.recipients.length;u++)if($.track.recipients[u].opts.base==\"http://udc.msn.com/c.gif?\"){for(e=$.track.recipients[u],udcCall=e.getPageViewTrackingUrl($.track.trackInfo),r=udcCall.split(\"?\")[1].split(\"&\"),udcCall=\"http://udc.msn.com/c.gif?\",i=0;i<r.length;i++)(r[i].split(\"=\")[0]==\"rid\"||r[i].split(\"=\")[0]==\"clid\"||r[i].split(\"=\")[0]==\"cts\")&&(udcCall=udcCall+r[i]+\"&\");udcCall+=\"evt=\"+f.evt+\"&xnet=\"+f.xnet}o=new Image,o.src=udcCall}},n.pingPageViewCandidateXML=function(){var i=location.protocol+\"//\"+location.host+\"/PageviewCandidate.xml?=\",n,t,u;if(typeof $.track!=\"undefined\"&&typeof $.track.recipients!=\"undefined\")for(n=0;n<$.track.recipients.length;n++)if($.track.recipients[n].opts.base==\"http://udc.msn.com/c.gif?\"){var f=$.track.recipients[n],e=f.getPageViewTrackingUrl($.track.trackInfo),r=e.split(\"?\")[1].split(\"&\");for(t=0;t<r.length;t++)r[t].split(\"=\")[0]==\"cts\"&&(i=i+r[t].split(\"=\")[1])}u=new Image,u.src=i},n.reportExternalPageView=function(t){var f,e,o,u,r;if(i)n.initTrack(t),n.track.trackPage();else{f=function(n){var t=new Image;t.onload=t.onerror=function(){t.onload=t.onerror=null},t.src=n.replace(/&/gi,\"&\")},e=function(){for(var n=[],r=\"0123456789ABCDEF\",i,t=0;t<32;t++)n[t]=r.substr(Math.floor(Math.random()*16),1);return n[12]=\"4\",n[16]=r.substr(n[16]&3|8,1),i=n.join(\"\"),ridkey=i,i};if($.track&&$.track.recipients&&$.track.genericTrackUrl){for(o=e(),$.track.trackInfo.userStatic.requestId=ridkey,r=0;r<$.track.recipients.length;r++)$.track.recipients[r].opts.base==\"http://udc.msn.com/c.gif?\"&&(u=$.track.genericTrackUrl(r));u&&f(u)}window.s&&s.t&&void s.t()}},n.useExternalReporting=function(){i=!0},n.initializeOmniTrackings=function(t){var i=n.getPageWidget(),d=i.getConfig(\"Market\").toLowerCase(),a=i.getConfig(\"BingVerticalName\"),b=i.getConfig(\"CookieDomain\"),r,u,s,e,o,h,c;n.cookieSetup(b,a);var f,l=n.cookie(\"vidodb\"),p=n.cookie(\"vidosp\"),v=i.getConfig(\"OmnitureSampling\");(null==p||p!=v)&&(l=null);if(document.location.href.toString().indexOf(\"omniture=true\")!=-1)f=!1;else if(document.location.href.toString().indexOf(\"omniture=false\")!=-1)f=!0;else if(null!=l)f=l==\"1\";else{var k=n.cookie(\"sample\"),y=parseInt(k),w=isNaN(y)?Math.random()*100:y;f=w>parseInt(v)}return n.cookie(\"vidodb\",f?\"1\":\"0\",182),n.cookie(\"vidosp\",v,182),r=document.location.pathname,a==\"videos\"&&(r=r.substr(a.length+1)),u=r,s=null,\"/\"==u?u=\"home\":0==u.indexOf(\"/watch/\")&&(e=u.substr(7),e=e.substr(0,e.indexOf(\"/\")),u=\"/watch/\"+e+\"/\"),o=r.split(\"/\"),h=o.length>1?o[1]:\"\",(r==\"/\"||r==\"\")&&(h=\"home\"),n(\".errorTemplate\").length>0&&(s=\"error\"),c={path:r,od:f,suite:i.getConfig(\"OmnitureReportSuite\"),ps:i.getConfig(\"PS\"),pi:i.getConfig(\"PI\"),mkt:i.getConfig(\"Market\"),dpt:i.getConfig(\"Department\"),pn:u,cn:i.getConfig(\"OmnitureChannelName\")+\"-\"+i.getConfig(\"DI\"),st:i.getConfig(\"SearchQuery\"),sc:n(\".searchCount\").text(),pv:!0,pt:s,vid:i.getConfig(\"videoId\"),pr:i.getConfig(\"Product\"),prop7:\"browse\",prop5:h,prop10:document.title,prop47:i.getConfig(\"AdPageGroup\")},$.extend(!0,c,t),c},n.reportOmnitureOnPhotoChange=function(t){isOmniturePV=t.OmniturePV;var i=n.initializeOmniTrackings(t);n.reportSetup(i)},n.reportComScoreOnPhotoChange=function(){u=!0,n.reportExternalPageView(),f()},n.reportSetup=function(n){t&&t.setup(n)},n.reportEvent=function(n){t&&t.event(n)},n.reportNavigation=function(n){t&&t.navigation(n)},n.writeTrackingCookie=function(t,i,r){n.updateTracking(t,i,r,!0)},n.updateTracking=function(t,i,r,u){var e=n.getPageWidget().getConfig(\"ClickTrackingType\"),f;return t=t||\"Gal\",i=i||\"play\",r=r||\"\",f=[e,t,i,r].join(\":\"),n.vxpGlobal.vidps=f,u&&n.cookie(\"vidps\",f),f}}),jQueryWait(function(n){function v(n){return{action:n.name}}function y(n,t){var i=g(n);for(ndx=0;trackUrl=i[ndx++];)c(trackUrl);if(t)throw\"Not Implemented\";}function k(){var n=new Date;return n.getDate()+\"/\"+n.getMonth()+\"/\"+n.getFullYear()+\" \"+n.getHours()+\":\"+n.getMinutes()+\":\"+n.getSeconds()+\" \"+n.getDay()+\" \"+n.getTimezoneOffset()}function p(){var t=new Date,n=Math,i=n&&n.random?n.floor(n.random()*1e13):t.getTime();return\"s\"+n.floor(t.getTime()/108e5)%10+i}function h(n){var f=r,ft,w,pt,et,ot,ht,yt,bt,wt,vt,kt,at,nt,st,lt,ct,it,h,rt,o,a,e,v,l,g,b,ut,k,tt,y;$vxp.extend(f,n),ft=t.location.href,et=$vxp.cookie(\"gt1\")||\"\",ot=$vxp.cookie(\"ocid\")||\"\",ht=$vxp.cookie(\"anid\")||\"\",yt=f.mkt||\"\",bt=f.cn||\"\",wt=f.pt||\"\",path=f.path||\"\",lt=f.prop11||\"\",vt=f.vid||\"\",at=f.prop5||\"\",prop10=f.prop10||\"\",st=f.prop7||\"\",ct=f.prop28||\"\",prop47=f.prop47||\"\",$vxp.cookie(\"ocid\",null),it=path.split(\"/\"),w=it.length>1?it[1]:\"\",(path==\"/\"||path==\"\")&&(w=pt=\"home\"),w=f.dpt||w,nt=$vxp.cookie(\"from\")||\"\",h=t.referrer||\"\",h.toLowerCase().indexOf(\"http://\")==0&&(h=h.substr(7),rt=h.indexOf(\"/\"),h>0&&(h=refDomain.substr(0,rt)),nt+=\"^\"+h),o={c1:f.pr,c2:yt,c3:w,c5:at,c6:nt,c7:st,c10:prop10,c11:lt,c18:et,c23:ht,c28:ct,c29:ft,c32:vt,c47:prop47,v0:ot},undefined!=window.Silverlight&&(a=\"\",$vxp.hasSilverlight(4)?a=\"4.0\":$vxp.hasSilverlight(3)?a=\"3.0\":$vxp.hasSilverlight(2)?a=\"2.0\":$vxp.hasSilverlight(1)&&(a=\"1.0\"),o.c31=a),e=f.st,e&&e.length>0&&(o.c35=f.sc,v=e.toLowerCase().charAt(0),v<=\"d\"?o.c36=e:v<=\"k\"?o.c37=e:v<=\"p\"?o.c38=e:v<=\"u\"?o.c39=e:o.c40=e),l={},$vxp.extend(l,s),l.pageName=n.pn||r.pn,l.r=n.r?n.r:n.rf==\"\"?\"\":$vxp.cookie(\"rf\")||document.referrer,i&&(g=$vxp.format(i,p(),u(l),u(o)),c(g)),k=t.location.host,tt=k+path,e&&e.length>0?b=k+\"/search\":path.indexOf(\"/watch/\")==0?(y=path.substr(7),y=y.substr(0,y.indexOf(\"/\")),b=\"/watch/\"+y+\"/player\"):b=tt,typeof _G==\"object\"&&(ut=_G.IG),g=$vxp.format(d,f.di,f.pi,f.ps,encodeURIComponent(t.referrer),encodeURIComponent(b),encodeURIComponent(tt),ut)}function w(n){var l,a,v,t,e,f,h;if(!o)return;v=r.mkt||\"\",t=r.path.split(\"/\"),l=t.length>1?t[1]:\"\",a=t.length>2?t[2]:\"\",e={c13:n.prop13||\"\",c16:n.click,c17:s.pageName,pe:\"lnk_o\",pev2:n.click},n.pt&&(e.c10=n.pt),f={},$vxp.extend(f,s),f.pageName=\"\",f.r=n.rf==\"\"?\"\":$vxp.cookie(\"rf\")||document.referrer,i&&(h=$vxp.format(i,p(),u(f),u(e)),c(h))}function u(n){var r=[],i,t;for(i in n)t=n[i].toString().toLowerCase(),t.length>100&&(t=t.substring(0,100)),r.push(i+\"=\"+encodeURIComponent(t));return r.join(\"&\")}function g(){}function c(n){if($vxp(\".ux.hub\").length==0||b){var t=new Image;t.onload=t.onerror=function(){t.onload=t.onerror=null},t.src=n}}$vxp.reportImpl=n;var t=document,nt,d=\"http://c.msn.com/c.gif?DI={0}&PI={1}&PS={2}&RF={3}&TP={4}&pageurl={5}&IG={6}\",i,f=0,e=0,o=!1,a,l=[],b=!1,r={},s;n.setup=function(n){var c,u;for(o=!0,r=n,b=n.OmniturePV,n.od||(c=n.suite||\"msnportalvideodev\",i=\"http://msnportal.112.2o7.net/b/ss/\"+c+\"/1/H.7-pdv-2/{0}?[AQB]=1&{1}&{2}&[AQE]=1\"),typeof window.innerWidth==\"number\"?(f=window.innerWidth,e=window.innerHeight):document.documentElement&&document.documentElement.clientWidth?(f=document.documentElement.clientWidth,e=document.documentElement.clientHeight):document.documentElement.offsetWidth&&(f=document.documentElement.offsetWidth,e=document.documentElement.offsetHeight),s={ndh:1,t:k(),ns:\"msnportal\",pageName:n.pn,g:t.location.href,ch:n.cn,server:document.domain,ce:\"ISO-8859-1\",r:$vxp.cookie(\"rf\")||t.referrer,bw:f,bh:e,s:screen.width+\"x\"+screen.height},n.pv?h(n):a&&h(a),u=0;u<l.length;u++)w(l[u])},n.reportClick=function(n){o?w(n):l.push(n)},n.reportPageView=function(n){o?h(n):a=n},n.reportEvent=function(n){var t=v(n);return y(t)},n.reportNavigation=function(n){var t=v(n);return y(t,!0)},$vxp.reportSetImpl($vxp.reportImpl)},{});window.$vxp||(window.$vxp=jQuery.noConflict(!0)),window.$||window.jQuery||(window.$=window.jQuery=window.$vxp);(function(n){function t(n,i,r){return typeof n==\"number\"&&(t(i)?n>=i:!0)&&(t(r)?n<=r:!0)}function i(n,i){return typeof n==\"string\"&&(t(i)?n.length>=i:!0)}var r=n.isArray;n.extend({isNumber:t,isString:i,isObject:function(n){return typeof n==\"object\"&&n!==null},isDefined:function(n){return typeof n!=\"undefined\"},isArray:function(n,i){return r(n)&&(t(i)?n.length>=i:!0)}})})($vxp),function(){String.prototype.getCookie=function(){var t=new RegExp(\"\\\\b\"+this+\"\\\\s*=\\\\s*([^;]*)\",\"i\"),n=t.exec(document.cookie);return n&&n.length>1?n[1]:\"\"}}(),function(){String.prototype.setCookie=function(n,t,i,r,u){var f=[this,\"=\",n],e;t&&(e=new Date,e.setTime(e.getTime()+t*864e5),f.push(\";expires=\"),f.push(e.toUTCString())),i&&(f.push(\";domain=\"),f.push(i)),r&&(f.push(\";path=\"),f.push(r)),u&&f.push(\";secure\"),document.cookie=f.join(\"\")},String.prototype.delCookie=function(){document.cookie=this+\"=; expires=Fri, 31 Dec 1999 23:59:59 GMT;\"}}(),function(n){n.fireAndForget=function(n){if(n){var t=new Image;t.onload=t.onerror=function(){t.onload=t.onerror=null},t.src=n.replace(/&/gi,\"&\")}}}($vxp),function(n){function i(i){var o=n.extend(!0,{},t,i),c=window,f,r,l,e,a,u,s,h;if(!n.isArray(o.silverlightVersions,1))return 0;r=0;try{l=c.navigator,e=l.plugins;if(e&&e.length)f=e[\"Silverlight Plug-In\"],f&&(r=/^\\d+\\.\\d+/.exec(f.description)[0]),f=0;else if(c.ActiveXObject){a=new ActiveXObject(\"AgControl.AgControl\");if(a){r=1,u=n(\"<OBJECT/>\")[0],u.codeType=o.silverlightMimeType;if(typeof u.IsVersionSupported!=\"undefined\")for(h=0;s=o.silverlightVersions[h];++h)if(u.IsVersionSupported(s)){r=s;break}u=0}}}catch(v){}return r}var t={silverlightVersions:[\"5.0\",\"4.0\",\"3.0\",\"2.0\"],silverlightMimeType:\"application/x-silverlight-2\"};n.silverlight=i,n.silverlight.version=i(),n.silverlight.defaults=t}($vxp),function(){String.prototype.format=function(){for(var t=this,n=0;n<arguments.length;++n)t=t.replace(new RegExp(\"\\\\{\"+n+\"\\\\}\",\"g\"),arguments[n]);return t},String.prototype.findKey=function(n,t,i){var f,r,u,e;t=t||\"|\",i=i||\":\",f=null,r=this.split(t);if(r)for(u=0;u<r.length;u++){e=r[u].split(i);if(e[0]==n){f=e[1];break}}return f}}(),function(n){function t(i){function e(i,r){var e,f,o;t.trackInfo.incrementEventNumber();for(e in t.recipients)f=t.recipients[e],n.isFunction(f[i])&&n.fireAndForget(f[i](t.trackInfo));if(r&&!t.trackInfo.client.isIE()){o=u.spinTimeout+new Date;while(o>+new Date);}}function s(i){if(i&&i.target&&i.button!=2){var u=n(i.target),r=u.filter(\"*[href]:first\");r.length||(r=u.closest(\"*[href]\")),r.length&&t.trackEvent(i,r[0])}}function h(n){t.trackEvent(n)}var u=n.extend(!0,{},o,i);return t.recipients=[],t.trackInfo=new r(u.trackInfoOpts),t.trackInfo.client.pageUrl=f.href,t.register=function(){return t.recipients=t.recipients.concat(Array.prototype.slice.call(arguments)),t},t.trackEvent=function(n,i,r,u,f,o,s){t.trackInfo.event=n,t.trackInfo.createReport(i,r,u,f,o,s)&&e(\"getEventTrackingUrl\",!0)},t.trackPage=function(){e(\"getPageViewTrackingUrl\",!1)},t.genericTrackUrl=function(i){t.trackInfo.incrementEventNumber();var r=t.recipients[i];if(n.isFunction(r.getPageViewTrackingUrl))return r.getPageViewTrackingUrl(t.trackInfo)},n.fn.trackForms=function(){return this.each(function(){var t=n(this);t=t.is(\"form\")?t:n(\"form\",t),t.bind(\"submit\",h)})},n(document).bind(u.evtType,s).bind(\"impr\",t.trackEvent),n(window).bind(\"load unload\",t.trackEvent),n(function(){n(\"body\").trackForms()}),t}function r(t){function b(t,i){var f={},u,e,r;if(t&&i)for(u in t)e=t[u],r=i[e],r&&(f[u]=n.isFunction(r)?r():r);return f}function p(t,i,r){var s,u,o,f;for(r||(r=-1),s=n(t).children(),o=0;r<0&&(u=s[o]);++o){if(u==i)return-r;f=n(u),f.attr(\"id\")||(f.attr(\"href\")&&!f.attr(e.notrack)&&--r,r=p(u,i,r))}return r}function k(n){var t=/\\bGT1=(\\d+)/i.exec(n);return t?t[1]:\"\"}function w(){if(n.isNumber(i.innerWidth))c=i.innerWidth,h=i.innerHeight;else{var t=u.documentElement;t&&t.clientWidth?(c=t.clientWidth,h=t.clientHeight):t.offsetWidth&&(c=t.offsetWidth,h=t.offsetHeight)}}var l=screen,o=r.prototype,e=n.extend(!0,{},t),h,c,a,s,v,y;o.sitePage=e.sitePage,o.userStatic=e.userStatic,s=-1,o.client=n.extend({screenResolution:function(){return l.width+\"x\"+l.height},clientId:function(){if(!y){var t=e.MUIDCookie.getCookie();y=t?t:n.track.trackInfo.userStatic.requestId}return y},colorDepth:l.colorDepth,cookieSupport:function(){return u.cookie?\"Y\":\"N\"},height:function(){return h||w(),h},width:function(){return c||w(),c},isIE:function(){return n.isDefined(a)||(a=n.isDefined(i.ActiveXObject)),a},connectionType:function(){return e.defaultConnectionType},pageUrl:f.href,referrer:u.referrer,referrer_slideshow:function(){return document.referrerRefreshTrcking},getAllPgId:function(){return typeof setprop47Var!=\"undefined\"&&setprop47Var!=null?setprop47Var():\"\"},getQParam:function(){var n=\"q\",i,t;return n=n.replace(/[\\[]/,\"\\\\[\").replace(/[\\]]/,\"\\\\]\"),i=new RegExp(\"[\\\\?&]\"+n+\"=([^&#]*)\"),t=i.exec(window.location.href),t?t[1]:\"\"},sample:function(){var t,n,i;return s==-1&&(t=e.smpCookie.getCookie(),s=parseInt(t),s=isNaN(s)?Math.floor(Math.random()*100):s%100,n=location.hostname.match(/([^.]+\\.[^.]*)$vxp/),i=n?n[0]:\"\",e.smpCookie.setCookie(s,e.smpExp,i)),s},timezone:function(){var n,t,i,r;return v||(n=new Date,t=new Date,t.setMonth(n.getMonth()+6),i=Math.round(n.getTimezoneOffset()/60)*-1,r=Math.round(t.getTimezoneOffset()/60)*-1,v=i<r?i:r),v}},o.client),o.createReport=function(t,i,r,u,f,o){var s,a=this,h,b,l,v,d,y,w,c;!t&&a.event&&a.event.target&&(t=a.event.target);if(t&&!n(t).attr(e.notrack)){h=n(t),s={destinationUrl:i,campaignId:\"\",contentElement:f,contentModule:u,headline:r,sourceIndex:t.sourceIndex?t.sourceIndex:\"\",nodeName:t.nodeName},i||(b=t.href||t.action,s.destinationUrl=h.attr(e.piiurl)||b||\"\");if(!r){r=h.attr(e.piitxt);if(!r)if(h.filter(\"form\").length)r=e.defaultFormHeadline;else try{r=h.text()||h.attr(\"alt\")||n(\"[alt]\",h).attr(\"alt\")}catch(g){r=\"\"}s.headline=r}s.campaignId=o||k(s.destinationUrl),l=h.parents(\"[id]\");if(!s.contentModule){for(v=[],y=0;d=l[y];++y){w=d.id;if(w==e.wrapperId)break;v.splice(0,0,w)}s.contentModule=v.join(e.cmSeparator),s.contentModule||(s.contentModule=e.defaultModule)}s.contentElement||(c=0,h.attr(\"id\")?c=1:l.length&&(c=p(l[0],t,-1)),s.contentElement=c)}return a.report=s,s},o.report={},o.incrementEventNumber=function(){this.userDynamic.eventNumber++},o.isSampled=function(n){return!(o.client.sample()>n)},o.generateUrl=function(t,i,r,u,f){var h=\"\",o=n.extend(!0,{},u,i),e,c,s;f=n.extend(!0,{},r,f);if(f)for(e in f)this[e]&&(c=b(f[e],this[e]),o=n.extend(!0,{},c,o));return s=n.param(o),s.length>0&&(h=t+s),h}}var u=document,i=window,f=i.location,e,o={evtType:\"click\",spinTimeout:150,trackInfoOpts:{notrack:\"notrack\",cmSeparator:\">\",defaultModule:\"body\",defaultFormHeadline:\"[form submit]\",piitxt:\"piitxt\",piiurl:\"piiurl\",wrapperId:\"wrapper\",defaultConnectionType:\"LAN\",smpCookie:\"Sample\",smpExp:182,MUIDCookie:\"MUID\",event:{},sitePage:{},userStatic:{}}};n.track=t,r.prototype.client={},r.prototype.userDynamic={isHomePage:function(){var t=u.documentElement,i=0;if(n.isDefined(t.addBehavior)&&t.addBehavior(\"#default#homePage\"))try{i=t.isHomePage(f.href)?\"Y\":\"N\"}catch(r){}return i},anid:function(){return\"ANON\".getCookie()},timeStamp:function(){return+new Date},requestId:function(){var t,r,i,u;if(n.track.trackInfo.userStatic.requestId)return n.track.trackInfo.userStatic.requestId;for(t=[],r=\"0123456789ABCDEF\",i=0;i<32;i++)t[i]=r.substr(Math.floor(Math.random()*16),1);return t[12]=\"4\",t[16]=r.substr(t[16]&3|8,1),u=t.join(\"\"),e=u,n.track.trackInfo.userStatic.requestId=e,u},eventNumber:0},t.trackInfo=r}($vxp),function(n){function t(i){function o(t){var i,r,u,f;if(n.isObject(t))for(r in t)u=t[r],f=r.match(\"Map\")?u:o(u),i=n.extend(!0,i,f);return i}function s(t){var i=[],h=u.individualChecks,c=u.combinedChecks,v=u.nonImplementationChecks,r,l,a,s;f(t,i,{},v);if(n.isArray(h)||n.isArray(c)){for(l in e)a=e[l],s=o(a.opts),f(t,i,s,h),r=n.extend(!0,r,s);f(t,i,r,c)}return i}function f(t,i,u,f){return u&&f&&n.map(f,function(f){var e=r[f];n.isFunction(e)&&(i=n.merge(i,e(t,u)))}),i}var r=this,u=r.opts=n.extend(!0,{},i),e=n.track.recipients;r.getTrackInfoPropertyList=function(t,i){var o=[],r,u,f,e,s;i=i||[];for(r in t)if(n.inArray(r,i)==-1){u=t[r];for(f in u)e=r+\".\"+f,s=u[f],n.inArray(e,i)==-1&&n.isDefined(s)&&o.push(e)}return o},r.missingProperties=function(t,i){var u=[],r,f,e,o,s,h,c;for(r in i){f=t[r];if(!n.isDefined(f)){u.push(\"missing trackInfo.\"+r);continue}e=i[r];for(o in e)s=e[o],h=f[s],n.isDefined(h)||(c=[\"missing trackInfo.\",r,\".\",s,\" which maps to \",o],u.push(c.join(\"\")))}return u},r.duplicateProperties=function(t,i){var o=[],s=[],r,h,f,e,u,c;for(r in i){h=t[r];if(n.isDefined(h)){f=i[r];for(e in f)u=r+\".\"+f[e],n.inArray(u,s)!=-1&&(c=[\"duplicate use of trackInfo.\",u,\" which maps to \",e],o.push(c.join(\"\"))),s.push(u)}}return o},r.unusedProperties=function(t,i){var h=[],e=r.getTrackInfoPropertyList(t,u.excludeTrackInfoProperties),f,c,o,l,a,s;for(f in i){c=t[f];if(n.isDefined(c)){o=i[f];for(l in o)a=f+\".\"+o[l],s=n.inArray(a,e),s!=-1&&(e[s]=\"\")}}return h=n.map(e,function(n){if(n.length>0)return\"unused property \"+n})},r.requiredProperties=function(t){var f=[],o=r.getTrackInfoPropertyList(t),e,i;for(e in u.requiredPropertyList)i=u.requiredPropertyList[e],n.inArray(i,o)==-1&&f.push(\"required property missing \"+i);return f},r.getEventTrackingUrl=function(n){var i=\"\";return n.event.type==\"checks\"&&(t.results=s(n)),i}}t.urlLength=function(t){function f(n){n.preventDefault()}function e(t,r){n(\"body\").bind(r,f),n(t).each(function(){i=n(this),i.unbind(r).trigger(r)}),n(\"body\").unbind(r,f)}var r=[],u=-1,i,o=n.fireAndForget;return n.fireAndForget=function(n){n.length>u&&(u=n.length),n.length>t&&(r.push(n),i&&i.attr({style:\"border: 2px solid red\"}))},e(\"a[notrack!=1]\",\"click\"),e(\"form\",\"submit\"),n.fireAndForget=o,r.push(\"Max url.length=\"+u),r};var i={messageAttr:{style:\"text-align: center; width: 100%; border: solid 1px Red;\"},nonImplementationChecks:[\"requiredProperties\"],individualChecks:[\"duplicateProperties\"],combinedChecks:[\"missingProperties\",\"unusedProperties\"],excludeTrackInfoProperties:[\"event\",\"client.isIE\",\"client.sample\",\"userStatic.defaultSlotTrees\",\"userStatic.userGroup\",\"userStatic.optKey\"],requiredPropertyList:[\"sitePage.sourceUrl\",\"sitePage.pageName\",\"userStatic.requestId\"],maxUrlLength:2083};n.runCheck=function(t){var f=n.extend(!0,i,t),o=n(\"body\"),e=[],u,r;n.track?(u=n.track.initCheck.urlLength(f.maxUrlLength),n.track.register(new n.track.initCheck(f)),n(document).bind(\"checks\",n.track.trackEvent),o.trigger(\"checks\"),u=n.merge(u,n.track.initCheck.results),e=n.map(u,function(n){return\"<div>\"+n+\"</div>\"})):e.push(\"$vxp.track is not defined\"),r=n(\".initCheck\"),r.length==0&&(r=n(\"<div/>\").attr(\"class\",\"initCheck\").attr(f.messageAttr).text(\"\"),o.prepend(r)),r.html(e.join(\"\"))},n.track&&(n.track.initCheck=t)}($vxp),function(n){var t,i,r,u,f;if(n.track&&n.track.trackInfo){t=n.track.trackInfo.prototype,i=t.client;if(i){function e(){return t.userStatic&&t.userStatic.userGroup}i=n.extend(i,{flightKey:function(){if(!r){var n=e();r=n&&n.substring(0,n.indexOf(\":\"))||\"default\"}return r},groupAssignment:function(){if(!u){var n=e();u=n&&parseInt(n.substring(n.indexOf(\":\")+1))?\"S\":\"P\"}return u},optKey:function(){return f||(f=t.userStatic.optKey||\"default\"),f}})}}}($vxp),function(n){if(n.track&&n.track.trackInfo){var t=n.track.trackInfo.prototype.client,i=-1;if(t){function r(){return i==-1&&(i=n.silverlight&&n.silverlight.version?n.silverlight.version:\"\"),i}t.silverlightVersion=r,t.silverlightEnabled=function(){return Number(r()>0)}}}}($vxp),function(n){function r(){var n=[t.getDate(),\"/\",t.getMonth(),\"/\",t.getFullYear(),\" \",t.getHours(),\":\",t.getMinutes(),\":\",t.getSeconds(),\" \",t.getDay(),\" \",t.getTimezoneOffset()];return n.join(\"\")}var t=new Date,i={base:\"\",linkTrack:1,samplingRate:100,common:{v:\"Y\",j:\"1.3\"},commonMap:{client:{c:\"colorDepth\"}},page:{v1:t.getMonth()+1+\"/\"+t.getFullYear(),v2:t.getMonth()+1+\"/\"+t.getDate()+\"/\"+t.getFullYear(),t:r()},pageMap:{sitePage:{c3:\"pageVersion\"}},link:{t:r(),ndh:1,pidt:1,pe:\"lnk_o\"},linkMap:{sitePage:{c38:\"pageVersion\"}},eventList:[\"click\",\"mouseenter\",\"mouseleave\",\"submit\"]};n.track.omniTracking=function(t){var u=this,r=u.opts=n.extend(!0,{},i,t);u.getEventTrackingUrl=function(t){var u=\"\",i,f,e;return t.isSampled(r.samplingRate)&&(i=t.event?t.event.type:\"\",r.linkTrack&&n.inArray(i,r.eventList)!=-1&&(f=n.extend(!0,{},r.link,{c11:i==\"mouseenter\"||i==\"mouseleave\"?\"hover\":i,events:\"events4\"}),e=t.generateUrl(\"\",r.common,r.commonMap,f,r.linkMap),u=r.base.format(t.userDynamic.timeStamp(),e))),u},u.getPageViewTrackingUrl=function(n){var t=\"\",i;return n.isSampled(r.samplingRate)&&(i=n.generateUrl(\"\",r.common,r.commonMap,r.page,r.pageMap),t=r.base.format(n.userDynamic.timeStamp(),i)),t}},n.track.omniTracking.defaults=i}($vxp),function(n){var t={base:\"\",samplingRate:100,eventAlias:{submit:\"click\",mouseenter:\"click\",mouseleave:\"click\"}};n.track.genericTracking=function(i){var u=this,r=u.opts=n.extend(!0,{},t,i);u.getEventTrackingUrl=function(t,i){var e=\"\",u,f,o;return t.isSampled(r.samplingRate)&&(i=i?i:t.event.type,u=r[i],!n.isDefined(u)&&n.isDefined(r.eventAlias[i])&&(u=r[r.eventAlias[i]]),n.isDefined(u)&&(f=u.condition,(!n.isDefined(f)||n.isNumber(f)&&f||n.isFunction(n[f])&&n[f].call())&&(o=r.base+(u.url?u.url:\"\"),e=t.generateUrl(o,r.common,r.commonMap,u.param,u.paramMap)))),e},u.getPageViewTrackingUrl=function(n){return u.getEventTrackingUrl(n,\"impr\")}}}($vxp);jQueryWait(function(n){var i,r=0,u=0,t=n('<div style=\"position: absolute; z-index: 2147483646\"></div>');n(document).ready(function(){i=n(\"body\"),n.frontDoorMode?(i=n(\".uxVideo\"),r=i.offset().left,u=i.offset().top):n.isHub&&(t=n('<div style=\"position: absolute; z-index: 2147483646; font-size: 12px !important;\"></div>')),t.appendTo(i)}),n.fn.hideTooltip=function(i){setTimeout(function(){n(this).removeClass(\"over\"),t.empty().removeClass(i)},0)},n.fn.registerTooltip=function(i,f,e,o,s,h,c,l,a,v){var y=n(this),w,b,p;e=e||function(){},o=o||0,c=c==undefined?1e3:w,l=l||\"normal\",p=function(){clearTimeout(w),e(),t.empty().removeClass(f),y.removeClass(\"over\")},t.mouseleave(function(){p()}),t.mouseenter(function(){clearTimeout(b),clearTimeout(w)}),n(this).hover(function(){var k=i.clone(),b;if(!e(!0,k))return;y.addClass(\"over\"),b=function(){var e,nt,tt,it;t.empty().addClass(f).addClass(\"uxVideo\"),e=k.css(\"display\",\"block\").appendTo(t),l==\"overlay\"&&e.hover(function(){},function(){p()});var b,c,w,g={x:n(window).scrollLeft(),y:n(window).scrollTop(),w:n(window).width(),h:n(window).height()},i={x:y.offset().left-r,y:y.offset().top-u,x2:y.offset().left+y.width()-r,y2:y.offset().top+y.height()-u,w:y.width(),h:y.height()},d={w:e.outerWidth(),h:e.outerHeight()};i.x+i.w+d.w<g.x+g.w&&!a||a==\"right\"?(b=\"right\",c=i.w+o,w=h):i.x-d.w>g.x&&!a||a==\"left\"?(b=\"left\",c=(d.w+o)*-1,w=h):i.y-d.h>g.y&&!a||a==\"above\"?(b=\"top\",c=s,w=(d.h+o)*-1):(b=\"bottom_\"+(v==\"right\"?\"right\":\"left\"),w=h+o+i.h,c=s),l==\"normal\"?(a==\"below\"&&i.h>150&&(w-=84),nt=a==\"below\"&&v==\"right\"?i.x2+c-e.width():i.x+c,tt=i.y+w):(nt=i.x+s,tt=i.y+h),t.css({left:nt+\"px\",top:tt+\"px\"}),e.addClass(b)},c>0?w=setTimeout(function(){b()},c):b()},function(t){if(l==\"overlay\"&&n(t.relatedTarget||t.toElement).parents().andSelf().filter(\".vxp_galleryTooltip\").length>0)return;l==\"overlay\"?p():b=setTimeout(function(){p()},250)})}});jQueryWait(function(n){function h(){n(\"body\").mousemove(function(n){if(e){var u=n.pageY-o,r=s,f=t.find(\".vxp_scrollbar\").height()-t.find(\".topButton\").height()-t.find(\".vxp_scrollbar_bottomButton\").height()-t.find(\".vxp_scrollbar_handle\").height();return r+=u/f,i(t,r,!1),!1}}),n(\"body\").mouseup(function(){e=!1,clearTimeout(r),clearInterval(u)})}function f(n,t,r){var e=36,u=n.find(\".vxp_scrollContent\"),f=u.attr(\"scrollHeight\")-u.height(),o=u.attr(\"scrollTop\")/f;o+=t?e/f:-(e/f),i(n,o,r)}function i(n,t,i){var r,f,s,o;t>1&&(t=1),t<0&&(t=0),r=n.find(\".vxp_scrollContent\"),f=r.attr(\"scrollHeight\")-r.height(),i?r.animate({scrollTop:t*f},200):r.attr(\"scrollTop\",t*f);var h=n.find(\".vxp_scrollbar\"),e=n.find(\".vxp_scrollbar_handle\"),u=n.find(\".vxp_scrollbar_topButton\").height();u==0&&(u=8),s=h.height()-u-u-e.height(),o=s*t+u,i?e.animate({top:o},200):e.css(\"top\",o)}var e=!1,o=0,s=0,t,r,u;n.pageIsReady?h():n.subscribeEvent(\"pageReady\",h,\"scrollbar\"),n.scrollTo=function(n,t){var r=n.find(\".vxp_scrollContent\"),u=r.attr(\"scrollHeight\")-r.height(),f,e;u>0&&(f=parseInt(t.attr(\"offsetTop\")),e=f/u,i(n,e,!0))},n.updateScrolling=function(h){var c=h.find(\".vxp_scrollbar\"),l=h.find(\".vxp_scrollContent\"),a,v;c.length==0&&(h.append(\"<div class='vxp_scrollbar' style='display: none'><div class='vxp_scrollbar_background bg02'></div><div class='vxp_scrollbar_backgroundClick'></div><div class='vxp_scrollbar_topButton'></div><div class='vxp_scrollbar_bottomButton'></div><div class='vxp_scrollbar_handle'><div class='vxp_scrollbar_inner vxp_bg10'></div></div></div>\"),c=h.find(\".vxp_scrollbar\"),c.find(\".vxp_scrollbar_bottomButton\").click(function(){f(h,!0,!0)}).mousedown(function(){return r=setTimeout(function(){u=setInterval(function(){f(h,!0,!1)},100)},200),!1}).mouseout(function(){clearTimeout(r),clearInterval(u)}),c.find(\".vxp_scrollbar_topButton\").click(function(){f(h,!1,!0)}).mousedown(function(){return r=setTimeout(function(){u=setInterval(function(){f(h,!1,!1)},100)},200),!1}).mouseout(function(){clearTimeout(r),clearInterval(u)}),c.find(\".vxp_scrollbar_handle\").mousedown(function(n){e=!0,o=n.pageY;var i=l.attr(\"scrollHeight\")-l.height();return s=l.attr(\"scrollTop\")/i,t=h,!1}),c.find(\".vxp_scrollbar_backgroundClick\").click(function(t){var r=t.pageY-n(this).offset().top,u=r/n(this).height();i(h,u,!1)})),c.height(h.height()),l.height(h.height()),c.height(h.height()),a=h.find(\".vxp_scrollbar_handle\"),v=h.find(\".vxp_scrollbar_topButton\").height(),v==0&&(v=8);var y=c.height()-2*v,p=l.height()/l.attr(\"scrollHeight\"),b=l.attr(\"scrollHeight\")-l.height(),k=c.find(\".vxp_scrollbar_background\"),d=l.attr(\"scrollTop\")/b,w=!1;isNaN(y)||isNaN(p)||(a.height(y*p),k.height(y),p<1?(h.addClass(\"active\"),c.show(),i(h,d,!1)):(i(h,0,!1),h.removeClass(\"active\"),c.hide(),w=!0),n.browser.msie&&parseInt(n.browser.version,10)<7&&(a.hide(),a.show())),n.browser.msie&&parseInt(n.browser.version,10)<7&&!w&&(c.hide(),c.show())}});$vxp.registerWidget(\"bingDestinationPage,destinationPage\",function(n){function h(){if(s&&c()){var n=u?\"http://extreme.mobile.msn.com/video/\":\"http://extreme.mobile.msn.com/video/watch/\"+u;document.location.href=n}}function c(){for(var t=[\"windows phone\",\"zunewp7\"],i=navigator.userAgent.toLowerCase(),n=0;n<t.length;n++)if(i.indexOf(t[n])!=-1)return!0;return!1}function l(){return $vxp.getScript(e,function(){try{LaunchHelp(\"http://help.live.com\",\"MSN_Video_v5\",f,\"\",\"\",\"\",!1,\"b1\",\"\",\"\",\"\")}catch(n){}}),!1}function a(){return $vxp.getScript(o,function(){try{i&&i.length>0&&(_ht=\"http:\"+escape(\"//www.video.bing.com\"+document.location.pathname)),O_LC()}catch(n){}}),!1}var r=$vxp(n).getConfigs(),i=r.bingverticalname,f=r.market,e=\"http://help.live.com/resources/neutral/launchhelp.js\",o=\"http://hp.msn.com/scr/op/ol-fdbkv3_r1.js\",u=r.videoid,s=i&&i.length>0,t;setTimeout(h,1e3),t=$vxp(\"#sb_feedback\"),t.attr(\"href\")==\"#\"&&t.click(a),t=$vxp(\"#sb_help\"),t.attr(\"href\")==\"#\"&&t.click(l),$vxp.browser.msie&&document.location.href.indexOf(\"edit=true\")==-1&&(7==parseInt(document.documentMode)||7==parseInt($vxp.browser.version))&&$vxp(document.body).css(\"overflow-x\",\"hidden\")});$vxp.registerWidget(\"adWidget,superBannerAd\",function(n){var t=$vxp(n).getConfigs(),i=!1,r=!0,e=t.pagegroup,o=t.sizecode,u=t.adcontainerid,s=t.width,h=t.height,c=!1,f=function(){c||!window.dapMgr?(i||$vxp(n).widgetRefresh(),i=!0):($(\"#\"+u).empty(),dapMgr.renderAd(u,\"&PG=\"+e+\"&AP=\"+o,s,h))};$vxp(n).subscribeEvent(\"adChanged\",f),$vxp(n).subscribeEvent(\"videoChanged\",function(){r||f(),r=!1})});$vxp.registerWidget(\"tabbedContainer\",function(n){var t=!1,i;$vxp(n).mouseenter(function(i){var u,f,o,r,e;t||(t=!0,u=$vxp(n).children(\"div.vxp_tabRow\").find(\"div.vxp_tab\"),f=$vxp(n).children(\"div.vxp_tab_content\"),$vxp(n).subscribeEvent(\"tabEvent\",function(n){u.eq(n.tab).click()}),o=$vxp(n).find(\".vxp_border_3\"),r=null,o.length>0&&(r=o[0]),e=$vxp.inArray(r,u),u.each(function(){var o,i=this,s=function(){if(r!=null&&i!=r){$vxp(i).addClass(\"vxp_th2\"),$vxp(i).addClass(\"vxp_border_3\"),$vxp(i).addClass(\"vxp_tc1\"),$vxp(i).removeClass(\"vxp_th3\"),$vxp(i).removeClass(\"vxp_tc2\"),$vxp(i).removeClass(\"vxp_border_4\"),$vxp(r).addClass(\"vxp_th3\"),$vxp(r).addClass(\"vxp_tc2\"),$vxp(r).addClass(\"vxp_border_4\"),$vxp(r).removeClass(\"vxp_th2\"),$vxp(r).removeClass(\"vxp_border_3\"),$vxp(r).removeClass(\"vxp_tc1\"),r=i,e=$vxp.inArray(r,u);var t=f.height();t>0&&(t=t/12),f.css(\"min-height\",t+\"em\"),f.children(\"div.vxp_tab_widget\").children(\"div.ux\").each(function(n){var t=\"none\",r=0,i;n==e&&(i=$vxp(this).children().size()>0,i||$vxp(this).widgetRefresh(),t=\"block\",r=1),$vxp(this).parent().css({display:t}),$vxp(this).parent().attr(\"data-selected\",t==\"none\"?\"false\":\"true\")}),$vxp(n).fireEvent(\"tabChanged\",e)}};$vxp(this).mouseenter(function(){o=setTimeout(s,500)}),$vxp(this).click(function(){s()}),$vxp(this).mouseout(function(){o&&(clearTimeout(o),o=null)})}),$vxp(i.target).mouseenter())}),$vxp(n).bind(\"selectTab\",function(t,i){$vxp.isNumber(i)?$vxp(n).children(\"div.vxp_tabRow\").find(\"div.vxp_tab\").eq(i).mouseenter():$vxp(n).children(\"div.vxp_tabRow\").find(\"div.vxp_tab\").each(function(){if($vxp(this).html().toLowerCase()==i.toLowerCase()){$vxp(this).mouseenter();return}})}),i=$vxp(n).getConfig(\"Preload\"),i&&$vxp(n).children(\"div.vxp_tab_content\").children(\"div.vxp_tab_widget\").children(\"div.ux\").each(function(){var n=$vxp(this).children().size()>0;n||$vxp(this).widgetRefresh()})});$vxp.registerWidget(\"footer\",function(n){$vxp.subscribeEvent(\"attributions\",function(t){var r,i,u,f,e;if(t)for(r=t.split(\",\"),i=0;i<r.length;++i)r[i]=$vxp.trim(r[i]),u=r[i].toLowerCase(),u&&0==$vxp(n).find('.attribution[data-key=\"'+u+'\"]').length&&(f=attributions[u],f?0>f.indexOf(\"<img\")?\"buy-music\"==u?$vxp(n).find(\".attributionsRow .specialAttributions\").append($vxp(f)).append($vxp('<span class=\"dot\">·</span>')):($vxp(n).find(\".attributionsRow .textAttributions\").append($vxp(f)),$vxp(n).find(\".hiddenPrompt\").removeClass(\"hiddenPrompt\")):($vxp(n).find(\".attributionsRow .imageAttributions\").append($vxp(f)),$vxp(n).find(\".hiddenPrompt\").removeClass(\"hiddenPrompt\")):(e=$vxp('<span class=\"attribution p1-2\"></span>'),$vxp(e).attr(\"data-key\",u),$vxp(e).text(r[i]),$vxp(n).find(\".attributionsRow .textAttributions\").append($vxp(e)),$vxp(n).find(\".hiddenPrompt\").removeClass(\"hiddenPrompt\")))})});$vxp.registerWidget(\"header\",function(n){var t=$vxp.getPageWidget().getConfig(\"PrimaryDomainUrl\"),i,r=function(){var r=$vxp.vxpGlobal.geo.data(),u,f,e;i||(i=!0,u=document.location,r.market&&\"en-us\"!=r.market&&t==u.hostname.toLowerCase()&&u.pathname==\"/\"&&r.marketEnabled&&(f=\"http://\"+t+\"/video.aspx?mkt=\"+r.market,e=$vxp.cookie(\"vidgeor\"),e!=\"1\"?($vxp.cookie(\"vidgeor\",\"1\"),document.location.href=f):$vxp(n).find(\".geoTxt\").fadeIn(500).click(function(){return document.location.href=f,!1})))};$vxp.vxpGlobal.geo.isReady()?r():$vxp(n).subscribeEvent(\"geoDataReady\",r)});$vxp.registerWidget(\"headerMoreMenu\",function(n){if($vxp(n).getConfig(\"Refreshing\"))$vxp(n).find(\"div.vxp_moreButton\").hover(function(){$vxp(this).addClass(\"vxp_hover\"),$vxp(n).find(\"div.moreButton_contentModule\").show()},function(){$vxp(this).removeClass(\"vxp_hover\"),$vxp(n).find(\"div.moreButton_contentModule\").hide()}),$vxp(n).find(\"div.moreButton_contentModule\").hover(function(){$vxp(this).show()},function(){$vxp(this).hide()});else{var t=$vxp.setUrlParam(\"/\",\"rt\",\"ajax\");$vxp(n).widgetRefresh(null,null,t)}});$vxp.registerWidget(\"premiumTagHeader\",function(){});$vxp.registerWidget(\"SubHeader\",function(){});$vxp.registerWidget(\"marketPicker\",function(){});$vxp.registerWidget(\"navigation\",function(n){var i=!1,r=$vxp(n).getConfig(\"NewMsnNav\")==!0,t=r==!0?\"vxp_bg5\":\"vxp_bg7\";$vxp(n).mouseover(function(r){if(!i){i=!0,$vxp(n).find(\".vxp_primaryNav LI\").hover(function(){$vxp(this).hasClass(\"vxp_menu\")||$vxp(this).addClass(\"vxp_hover \"+t),f()},function(){$vxp(this).hasClass(\"vxp_menu\")||$vxp(this).hasClass(\"vxp_selected\")||$vxp(this).removeClass(\"vxp_hover \"+t)}).click(function(){var n=$vxp(this).find(\"A\").attr(\"href\");n&&(window.location=n)}),$vxp(n).find(\".vxp_subMenu .vxp_category\").hover(function(){$vxp(this).addClass(\"vxp_hover\")},function(){$vxp(this).removeClass(\"vxp_hover\")}).click(function(){return $vxp(this).hasClass(\"vxp_selected\")||(window.location=$vxp(this).find(\"A\").attr(\"href\")),!1});var u,e=!1;$vxp(n).find(\".vxp_menu\").hover(function(){clearTimeout(u),$vxp(n).find(\".vxp_subMenu\").show(),$vxp(n).find(\".vxp_menu\").addClass(\"vxp_menuHover \"+t)},function(){}),$vxp(n).find(\".vxp_subMenu\").hover(function(){clearTimeout(u),$vxp(n).find(\".vxp_menu\").addClass(\"vxp_menuHover \"+t)},function(){clearTimeout(u),u=setTimeout(f,1e3)});function f(){$vxp(n).find(\".vxp_subMenu\").hide(),$vxp(n).find(\".vxp_menu\").removeClass(\"vxp_menuHover \"+t)}$vxp(r.target).mouseover()}})});$vxp.registerWidget(\"searchBar\",function(n){var i=$vxp(n).getConfig(\"defaultText\"),r=$vxp(n).getConfig(\"clearDefaultText\"),t=function(t){var r=$vxp(n).getConfig(t?\"webSearchUrl\":\"videoSearchUrl\").toLowerCase(),i=$vxp.trim($vxp(n).find(\"INPUT\")[0].value);return i!=\"\"&&(i=r.indexOf(\"?\")>0?encodeURIComponent(i):$vxp.urlTagEncode(i),r=r.replace(\"searchquery\",i),document.location.href=r),!1};$vxp(n).find(\".vxp_videoSearch\").click(function(){t()}),$vxp(n).find(\".vxp_webSearch\").click(function(){t(!0)}),$vxp(n).find(\"INPUT\").each(function(){var n=this;$vxp(this).focus(function(){n.value==i&&r&&(n.value=\"\"),n.select()}),$vxp(this).keydown(function(n){if(n.keyCode==13)return t()})})});$vxp.registerWidget(\"slideshowPageRightRail\",function(n){$vxp(n).subscribeEvent(\"playSlideshow\",function(t){$vxp(n).setConfig(\"GalleryId\",t.id),$vxp(n).setConfig(\"AutoPlay\",!0),$vxp(n).widgetRefresh()})});$vxp.registerWidget(\"alphabet\",function(n){var t=$vxp(n).getConfig(\"EventTarget\"),i=function(){$vxp(this).addClass(\"vxp_alphabet_over vxp_tl2\")},r=function(){$vxp(this).removeClass(\"vxp_alphabet_over vxp_tl2\")};$vxp(n).find(\".vxp_alpha_available\").each(function(){$vxp(this).click(function(){return $vxp.fireEvent(\"alphabetEvent\",$vxp(this).attr(\"data-letter\"),t),!1}),$vxp(this).mouseover(i),$vxp(this).mouseout(r)})});$vxp.registerWidget(\"filteredTagList\",function(n){$vxp(n).subscribeEvent(\"filterEvent\",function(t){var u=$vxp(n).getConfig(\"TagQuery\"),i=$vxp.asArray(u.tagQuery.tags.tag),f,r;for(u.tagQuery.tags.tag=i,f=!1,r=0;r<i.length;r++)i[r].$namespace==t.nameSpace&&(i[r].$=t.tag,f=!0);f||i.push({$:t.tag,$namespace:t.nameSpace}),$vxp(n).setConfig(\"TagQuery\",u),$vxp(n).setLoadingMode(!0),$vxp(n).widgetRefresh()})});$vxp.registerWidget(\"groupedTagList\",function(n){$vxp(n).find(\".group .anchor\").each(function(){var n=function(){$vxp(this).toggleClass(\"over\")};$vxp(this).attr(\"title\",$vxp(this).find(\".text\").text()),$vxp(this).mouseover(n).mouseout(n)}),$vxp(n).find(\".item .anchor\").each(function(){var n=function(){$vxp(this).toggleClass(\"over\")};$vxp(this).attr(\"title\",$vxp(this).find(\".text\").text()),$vxp(this).mouseover(n).mouseout(n)})});$vxp.registerWidget(\"pagedGroupedTagList\",function(n){var t=$vxp(n).getConfig(\"TargetId\");$vxp(n).subscribeEvent(\"paginationEvent\",function(i){var u,f;i=parseInt(i),u=$vxp(n).find(\"div[data-taglist=back]\"),f=$vxp(n).find(\"div[data-taglist=front]\"),f.attr(\"data-taglist\",\"back\"),u.attr(\"data-taglist\",\"front\"),$vxp.fireEvent(\"PaginationPageChangedEvent\",i,t+\"_paging\"),$vxp.fireEvent(\"PaginationPageChangedEvent\",i,t+\"_paging\");var e=function(n){$vxp(f).hide(),$vxp(u).show(),n()},o=function(){r=$vxp(f).children(\".vxp_groupedTagList\"),$vxp(r).setConfig(\"currentpage\",i+1),$vxp(r).widgetRefresh()},r=$vxp(u).children(\".vxp_groupedTagList\");$vxp(r).getConfig(\"currentpage\")!=i?($vxp(r).setConfig(\"currentpage\",i),$vxp(r).widgetRefresh(function(){e(o)})):e(o)})});$vxp.registerWidget(\"pagedTagList\",function(n){var t=$vxp(n).getConfig(\"TargetId\");$vxp(n).subscribeEvent(\"alphabetEvent\",function(t){$vxp(n).setConfig(\"CurrentLetter\",t),$vxp(n).setConfig(\"TagQuery\",$vxp(n).getConfig(\"TagQuery\")),$vxp(n).widgetRefresh()}),$vxp(n).subscribeEvent(\"paginationEvent\",function(i){var u,f;i=parseInt(i),u=$vxp(n).find(\"div[data-taglist=back]\"),f=$vxp(n).find(\"div[data-taglist=front]\"),f.attr(\"data-taglist\",\"back\"),u.attr(\"data-taglist\",\"front\"),$vxp.fireEvent(\"PaginationPageChangedEvent\",i,t+\"_paging\"),$vxp.fireEvent(\"PaginationPageChangedEvent\",i,t+\"_paging\");var e=function(n){$vxp(f).hide(),$vxp(u).show(),n()},o=function(){r=$vxp(f).children(\".vxp_tagList\"),$vxp(r).setConfig(\"currentpage\",i+1),$vxp(r).setConfig(\"CurrentLetter\",$vxp(n).getConfig(\"CurrentLetter\")),$vxp(r).widgetRefresh()},r=$vxp(u).children(\".vxp_tagList\");$vxp(r).getConfig(\"currentpage\")!=i?($vxp(r).setConfig(\"currentpage\",i),$vxp(r).setConfig(\"CurrentLetter\",$vxp(n).getConfig(\"CurrentLetter\")),$vxp(r).widgetRefresh(function(){e(o)})):e(o)}),$vxp(n).find(\".vxp_tagList\").each(function(){$vxp(this).setConfig(\"TagQuery\",$vxp(n).getConfig(\"TagQuery\"))})});$vxp.registerWidget(\"tagList\",function(){});$vxp.registerWidget(\"rightRailPlaylist\",function(n){var i=$vxp(n).getConfigs(),t=i.continuousplayindex,v=i.continuousplaycontextkey,a=i.continuousplaytotal,b=i.playinline,w=i.maxtotalsize,d=i.pagesize,s=i.playlistmenuitemindex,o=$vxp(n).find(\"div.vxp_pagedGallery\").eq(0),u=null,r=null,c=!1,l=null,e=function(){return t+1>=a?0:t+1},f=function(i){c||(c=!0,setTimeout(function(){var a,l,h,p,b,k;c=!1,r&&r.find(\".vxp_playlist_countdown_text\").hide(),a=$vxp(n).getConfig(\"SelectedMenuItemIndex\"),a==s&&(l=$vxp(n).find(\"div[data-videolist=front]\").find(\"li.vxp_gallery_item\"),l.removeClass(\"vxp_playlist_playing\").removeClass(\"vxp_playlist_next\"),p=l.filter('[data-dataIndex=\"'+t+'\"]'),p.addClass(\"vxp_playlist_playing\"),h=l.filter('[data-dataIndex=\"'+e()+'\"]'),r=h,t!=e()&&h.addClass(\"vxp_playlist_next\")),h&&h.length>0?u={url:h.find(\".vxp_playerUrl\").eq(0).attr(\"href\"),id:h.attr(\"data-videoId\"),playerType:h.attr(\"data-playerAdapter\"),index:e()}:i?(b=Math.floor(e()/d)+1,a==s?(o.setConfig(\"CurrentPage\",b),o.widgetRefresh(function(){o=$vxp(n).find(\"div.vxp_pagedGallery\").eq(0),f()})):($vxp(n).setConfig(\"CurrentPage\",null),y(s),$vxp(n).widgetRefresh())):(k=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/playlist/get?callback=?\",$vxp.getJSON(k,{responseEncoding:\"json\",pageSize:\"1\",contextKey:v,pageNum:(e()+1).toString(),maxTotalSize:w.toString()},function(n){if(n&&n.playlist){var t=n.playlist.videos.video;t&&(u={url:t.url.$,id:t.uuid.$,playerType:t.playerType.$,index:e()})}}))},100))},k=function(){var i,r;t++,t>=a&&(t=0),u&&($vxp.cookie(\"vidap\",\"editor\"),i=$vxp(n).find(\".vxp_gallery\").getConfig(\"tracking\"),b?(r=$vxp.updateTracking(\"cp\",\"\",i),$vxp.fireEvent(\"playVideo\",{id:u.id,metadata:{supportedPlayers:u.playerType,source:\"cp\",dataIndex:u.index,playerSource:r}})):($vxp.writeTrackingCookie(\"cp\",\"\",\"\"),document.location.href=u.url))},y=function(t){$vxp(n).setConfig(\"SelectedMenuItemIndex\",t)},h,p;$vxp(n).subscribeEvent(\"countdownComplete\",k),$vxp(n).subscribeEvent(\"playVideo\",function(i){t=-1,$vxp(n).setConfig(\"VideoId\",i.id),(i.metadata.source==\"playlist\"||i.metadata.source==\"cp\")&&(t=i.metadata.dataIndex,f(i.metadata.source==\"cp\"))}),$vxp(n).subscribeEvent(\"geoFencedVideoRemoved\",function(){f()}),$vxp(n).subscribeEvent(\"galleryPageChanged\",function(){f()},o),$vxp(n).subscribeEvent(\"smartPoolRefreshReady\",function(t){$vxp(n).setConfig(\"SmartPoolTargetingKey\",t),$vxp(n).widgetRefresh()},o),$vxp(n).subscribeEvent(\"countdownStart\",function(n){var t,i;n>0&&(r&&(t=r.find(\".vxp_playlist_countdown_text\"),t.html(n),t.show()),i=n,l=setInterval(function(){i--,t&&t.html(i),i==0&&(clearInterval(l),t&&t.hide())},1e3))}),$vxp(n).subscribeEvent(\"countdownCancel\",function(){clearInterval(l),r&&r.find(\".vxp_playlist_countdown_text\").hide()}),h=$vxp(n).find(\"div.vxp_dropDownMenu\"),h.length>0&&$vxp(n).subscribeEvent(\"dropDownItemSelected\",function(t){y(t.itemIndex),$vxp(n).widgetRefresh()},h),p=$vxp(n).parents(\".vxp_tabbedContainer\"),$vxp(n).subscribeEvent(\"tabChanged\",function(n){n==0&&f()},p),f(),$vxp(n).setConfig(\"cpkey\",v)});$vxp.registerWidget(\"watchPageRightRail\",function(n){$vxp(n).subscribeEvent(\"playVideo\",function(t){t.metadata.source==\"playlist\"||t.metadata.source==\"cp\"||($vxp(n).setConfig(\"VideoId\",t.id),$vxp(n).widgetRefresh())})});$vxp.registerWidget(\"dropDownMenu\",function(n){var t=!1,i=function(){t=!1,$vxp(n).parent().removeClass(\"vxpDropDownOpened\")},r=function(){t=!0,$vxp(n).parent().addClass(\"vxpDropDownOpened\")},u=function(){t?i():r()};$vxp(n).find(\"div.vxpDropDownHeader\").click(function(n){n.stopPropagation(),u()}),$vxp(n).find(\"div.vxpDropDownItem\").click(function(){var t=$vxp(this).attr(\"data-itemId\"),i=$vxp(this).attr(\"data-itemIndex\");$vxp(n).fireEvent(\"dropDownItemSelected\",{itemId:t,itemIndex:i})}),$vxp(document.body).click(function(){i()})});$vxp.registerWidget(\"filterPane\",function(n){var t=$vxp(n).getConfig(\"EventTarget\");$vxp(n).subscribeEvent(\"widgetRefreshComplete\",function(){$vxp(\"a.vxp_playerUrl\").each(function(){var r;if(this.href.indexOf(\"sf=\")<0)return;var u=this.href,n=u.split(\"&\"),t=\"\",f=[];for(i=0;i<n.length;i++)n[i].indexOf(\"sf=\")<0?t+=n[i]:typeof $vxp.sortField==\"undefined\"?t+=\"&\"+n[i]:(r=n[i].split(\"=\")[1].split(\"#\")[1],t+=\"&sf=\"+$vxp.sortField+\"#\"+r);return $vxp(this).attr(\"href\",t),this})}),$vxp(n).find(\".vxp_filterPane_sortGroup .vxp_filterPane_available\").each(function(){var n=function(){$vxp(this).toggleClass(\"vxp_filterPane_over vxp_border_5 vxp_tb7\"),$vxp(this).find(\".vxp_filterPane_bullet\").toggleClass(\"vxp_bg9 vxp_border_7\")};$vxp(this).click(function(){var sort;return eval(\"sort = \"+$vxp(this).attr(\"data-sort\")+\";\"),$vxp.sortField=sort.videoSort.sortField.$,$vxp.fireEvent(\"sortEvent\",{sort:sort.videoSort},t),!1}).keypress(function(n){return(13==n.which||32==n.which)&&$vxp(this).click(),!1}).mouseover(n).mouseout(n)}),$vxp(n).find(\".vxp_filterPane_filterGroup .vxp_filterPane_available\").each(function(){var n=function(){$vxp(this).toggleClass(\"vxp_filterPane_over vxp_border_5 vxp_tb7\"),$vxp(this).find(\".vxp_filterPane_bullet\").toggleClass(\"vxp_bg9 vxp_border_7\")};$vxp(this).click(function(){var n=$vxp(this).parents(\".vxp_filterPane_filterGroup\"),i=n.parents(\".vxp_filterPane_outer\"),r=$vxp(n).attr(\"data-nameSpace\"),u=$vxp(this).attr(\"data-tag\");return $vxp(i).find(\".vxp_filterPane_popup\").css(\"display\",\"none\"),$vxp.fireEvent(\"filterEvent\",{nameSpace:r,tag:u},t),!1}).keypress(function(n){if(13==n.which||32==n.which)$vxp(this).click();else if(27==n.which||27==n.keyCode){var t=$vxp(this).parents(\".vxp_filterPane_outer\");$vxp(t).find(\".vxp_filterPane_popup\").css(\"display\",\"none\"),$vxp(t).find(\".vxp_filterPane_more\").focus()}return!1}).mouseover(n).mouseout(n)}),$vxp(document).keypress(function(n){(27==n.which||27==n.keyCode)&&$vxp(\".vxp_filterPane_popup\").css(\"display\",\"none\")}),$vxp(n).find(\".vxp_filterPane_more\").each(function(){$vxp(this).click(function(){var n=$vxp(this).parents(\".vxp_filterPane_outer\"),t=n.find(\".vxp_filterPane_popup\");return t.css(\"display\",\"block\"),!1}).mouseover(function(){$vxp(this).click()})}),$vxp(n).find(\".vxp_filterPane_tag\").each(function(){$vxp(this).attr(\"title\",$vxp.trim($vxp(this).text()))}),$vxp(n).find(\".vxp_filterPane_popup\").each(function(){$vxp(this).hover(null,function(){return $vxp(this).css(\"display\",\"none\"),$vxp(this).find(\"vxp_filterPane_.more\").focus(),!1});var n=$vxp(this).find(\".vxp_filterPane_column\"),t=n.length,i=parseInt(n.css(\"width\")),r=parseInt($vxp(this).css(\"width\"));$vxp(this).css(\"width\",(r+t*i)/12+\"em\")})});$vxp.registerWidget(\"pagination\",function(n){function r(n,u){if(n){var e=0,f;n.hasClass(\"vxp_firstPage\")?(e+=57,f=t>1):n.hasClass(\"vxp_prevPage\")?f=t>1:n.hasClass(\"vxp_nextPage\")?(e+=114,f=t<i):n.hasClass(\"vxp_lastPage\")&&(e+=171,f=t<i),e+=f?u?19:0:38,n.css(\"background-position\",e*-1+\"px 0px\"),n.css(\"cursor\",f?\"pointer\":\"default\"),f?n.removeClass(\"vxp_inactive\"):n.addClass(\"vxp_inactive\"),u?n.addClass(\"vxp_over\"):n.removeClass(\"vxp_over\")}else r(l),r(a),r(v),r(y)}function e(u){o>0&&(i=Math.ceil(o/f),i>Math.ceil((c+f-1)/f)&&h==\"_gallery\"&&(i=Math.floor((c+f-1)/f)),i>1&&(t=parseInt(t),u&&($vxp(n).find(\".vxp_currentPage\").text(t),$vxp(n).find(\".vxp_totalPages\").text(i)),l.attr(\"data-param\",1),a.attr(\"data-param\",t-1),v.attr(\"data-param\",t+1),y.attr(\"data-param\",i),r()))}var u=$vxp(n).getConfigs(),h=u.eventtarget,f=u.pagesize,o=u.totalcount,t=u.currentpage,c=u.gallerymaxcount,i,l=$vxp(n).find(\".vxp_firstPage\"),a=$vxp(n).find(\".vxp_prevPage\"),v=$vxp(n).find(\".vxp_nextPage\"),y=$vxp(n).find(\".vxp_lastPage\"),s;$vxp(n).subscribeEvent(\"PaginationCountChangedEvent\",function(n){o=n,e(!0)}),$vxp(n).subscribeEvent(\"PaginationPageChangedEvent\",function(n){t!=n&&(t=n,e(!0))}),s=!1,$vxp(n).mouseover(function(i){s||(s=!0,$vxp(n).find(\".vxp_lastPage,.vxp_nextPage,.vxp_firstPage,.vxp_prevPage\").each(function(){$vxp(this).click(function(){return $vxp(this).hasClass(\"vxp_inactive\")||(t=$vxp(this).attr(\"data-param\"),e(!0),$vxp.fireEvent(\"paginationEvent\",t,h)),!1})}),$vxp(n).find(\".vxp_lastPage,.vxp_nextPage,.vxp_firstPage,.vxp_prevPage\").each(function(){var n=$vxp(this);$vxp(this).mouseover(function(){r(n,!0)}).mouseout(function(){r(n)})}),$vxp(i.target).mouseover())}),e()});$vxp.registerWidget(\"gallery\",function(n){function e(n,t){var i=setTimeout(n,t);return r||(r=[]),r.push(i),i}function o(n){clearTimeout(n);if(r){var t=r.indexOf(n);t==r.length-1?r.pop():t==0?r.shift():r.splice(t,1)}}function fi(){if(r){for(var n in r)clearTimeout(n);r=null}}function v(i){t.thumbnailaddimmingenabled&&$vxp(n).find(\"li.vxp_gallery_item\").each(function(){var n=c($vxp(this));n.isBing!=\"true\"||n.providerId!=\"\"&&n.providerId||(i?y(this,t.addimmessagetext,null,!0,.2,!0,\"bingdim\"):et(this,\"bingdim\"))})}function kt(){var s;if(!b){var v=ft(),h=$vxp(n).vxpFindId(\"gallery_tooltip\"),r=$vxp(n).children().first(),p=$vxp(document.body).find(\".uxVideo .uxBody\").length>0,a=$vxp(document.body).find(\".ux.hub\").length>0,u=0;p?u=$vxp(r).hasClass(\"vxp_list\")?72:$vxp(r).hasClass(\"vxp_grid\")?100:100:$vxp(r).hasClass(\"vxp_list\")&&(u=-22),s=h.attr(\"data-tooltiptype\"),b=!0,$vxp(n).find(\"li.vxp_gallery_item\").each(function(){var r=$vxp(this),w,p;ut(r),v&&($vxp(r).attr(\"data-isExternal\")!=\"true\"||i==\"Dtp\"||i==\"Gallery\")&&(ot()||$vxp(r).find(\".vxp_playerUrl\").attr(\"href\",\"#\")),w=parseInt(r.parent().attr(\"data-column\")),s==\"thumbnailoverlay\"?(r.hover(function(){var n=c(r);r.find(\".vxp_thumbnailOverlayTooltip\").show(),r.find(\".vxp_thumbnailOverlayTitle\").text(n.title.text()),r.find(\".vxp_thumbnailOverlayDuration\").text(n.duration?n.duration+\" \"+ii:\"\")},function(){r.find(\".vxp_thumbnailOverlayTooltip\").hide()}),$vxp(r).find(\".vxp_motionThumb\").attr(\"title\",\"\")):s==\"infotip\"&&r.registerTooltip(h,\"vxp_gallery\",function(t,u){var f,o;if(t){f=c(r),a||f.isBing==\"true\"?$vxp(u).find(\".vxp_title\").text(f.title.text()):$vxp(u).find(\".vxp_title\").html(f.title.html()),f.groupName.length>0?(f.subTitle?$vxp(u).find(\".vxp_networkLabel\").text(f.subTitle).show():$vxp(u).find(\".vxp_networkLabel\").hide(),a||f.isBing==\"true\"?$vxp(u).find(\".vxp_group\").text(f.groupName.text()).show():$vxp(u).find(\".vxp_group\").html(f.groupName.html()).show()):$vxp(u).find(\".vxp_group\").hide(),f.duration||f.date?$vxp(u).find(\".vxp_extras\").show():$vxp(u).find(\".vxp_extras\").hide(),f.duration?($vxp(u).find(\".vxp_durationLabel\").show(),$vxp(u).find(\".vxp_duration\").text(f.duration).show(),$vxp(u).find(\".vxp_duration_separator\").show()):($vxp(u).find(\".vxp_durationLabel\").hide(),$vxp(u).find(\".vxp_duration\").hide(),$vxp(u).find(\".vxp_duration_separator\").hide()),f.date&&f.dateLabel?($vxp(u).find(\".vxp_dateLabel\").text(f.dateLabel+\":\"),$vxp(u).find(\".vxp_date\").text(f.date)):($vxp(u).find(\".vxp_dateLabel\").hide(),$vxp(u).find(\".vxp_date\").hide(),$vxp(u).find(\".vxp_duration_separator\").hide());if(f.isExternal==\"true\"||f.isBing==\"true\")$vxp(u).find(\".vxp_counts\").hide();else{$vxp(u).find(\".vxp_views\").text(f.views);var e=f.rating,s=Math.round(e)!=e,h=75-Math.round(e)*15,l=s*14,v=-1*h+\"px \"+-1*l+\"px\";$vxp(u).find(\".vxp_rating\").css(\"background-position\",v),$vxp(u).find(\".vxp_counts\").show()}o=$vxp(n).getConfig(\"HasExternalWarning\")&&f.isExternal==\"true\"&&i!=\"Dtp\"&&i!=\"Gallery\",o||f.description?$vxp(u).find(\".vxp_divider\").show():$vxp(u).find(\".vxp_divider\").hide(),f.description?$vxp(u).find(\".vxp_desc\").text(f.description).show():$vxp(u).find(\".vxp_desc\").hide(),o?$vxp(u).find(\".vxp_externalWarning\").show():$vxp(u).find(\".vxp_externalWarning\").hide(),f.copyright?($vxp(u).find(\".copyrightText\").text(f.copyright),$vxp(u).find(\".vxp_copyright\").show()):$vxp(u).find(\".vxp_copyright\").hide(),vt&&($vxp(u).find(\".vxp_counts\").hide(),$vxp(u).find(\".vxp_source\").hide(),$vxp(u).find(\".vxp_extras\").hide()),$vxp(r).find(\".vxp_motionThumb\").attr(\"title\",\"\")}return!0},8,0,u,null,null,dt,w%2==0?\"left\":\"right\"),f&&($vxp(r).mouseover(function(){$vxp(n).parents(\".vxp_showcase\").length>0?($vxp(n).find(\".selected .vxp_title.vxp_tl1\").removeClass(\"vxp_tl1\").addClass(\"vxp_tb2\"),$vxp(n).find(\".selected.vxp_bgSelected\").removeClass(\"selected vxp_bgSelected\"),$vxp(r).addClass(\"selected vxp_bgSelected\"),$vxp(r).find(\".vxp_title\").addClass(\"vxp_tl1\")):($vxp(n).find(\".selected\").removeClass(\"selected\"),$vxp(r).addClass(\"selected\"));var t=c(r);$vxp.fireEvent(\"galleryItemHover\",t,f)}),(i==\"Dtp\"||i==\"Gallery\")&&$vxp(r).mouseout(function(){$vxp(r).removeClass(\"selected\")})),$vxp(r).hover(function(){$vxp(r).addClass(\"hovered\"),it&&l&&!$vxp(r).attr(\"data-blockType\")&&$(r).find(\"img\").length>0&&y($vxp(r),si,null,!0,.2,!0,\"noAdClick\")},function(){$vxp(r).removeClass(\"hovered\"),it&&et($vxp(r),\"noAdClick\")}),$vxp(r).find(\"a.vxp_addToQueueButton\").mousedown(function(n){var i=$vxp(this),f,s;undefined==p&&(p=$vxp(r).find(\"div.vxp_addedToQueueText\").text());var u=c($vxp(r)),l={id:u.id,compactId:u.compactId,url:u.playerLink,thumb:u.thumbImage,motionThumb:u.motionThumb||\"\",title:u.title,description:u.description||\"\",isBing:u.isBing,providerId:u.providerId,playerType:u.playerType,playerAdapter:u.playerAdapter,source:u.source},h=$vxp.vxpGlobal.playlist.add(l);return\"added\"==h?(i.hide(),$vxp(r).find(\"div.vxp_addedToQueueText\").text(p),i.siblings(\"div.vxp_addedToQueueButton\").show(),i.siblings(\"div.vxp_addedToQueue\").show(),$vxp.vxpFind(\"div.tabbedPlayerPane .tab.queue\").click(),f=e(function(){o(f),i.siblings(\"div.vxp_addedToQueue\").fadeOut(2e3),i.siblings(\"div.vxp_addedToQueueButton\").fadeOut(2e3),i.show(),s=e(function(){o(s),i.siblings(\"div.vxp_addedToQueue\").hide(),i.siblings(\"div.vxp_addedToQueueButton\").hide()},3e3)},5e3)):\"exists\"==h?($vxp(r).find(\"div.vxp_addedToQueueText\").text(t.queuedupeerrortext),i.siblings(\"div.vxp_addedToQueue\").show(),f=e(function(){o(f),i.siblings(\"div.vxp_addedToQueue\").fadeOut(2e3),s=e(function(){o(s),i.siblings(\"div.vxp_addedToQueue\").hide()},3e3)},5e3)):\"max\"==h&&($vxp(r).find(\"div.vxp_addedToQueueText\").text(t.queuefullerrortext),i.siblings(\"div.vxp_addedToQueue\").show(),f=e(function(){o(f),i.siblings(\"div.vxp_addedToQueue\").fadeOut(2e3),s=e(function(){o(s),i.siblings(\"div.vxp_addedToQueue\").hide()},3e3)},5e3)),n.stopPropagation(),!1})}),$vxp(n).addClass(\"vxp_js_ready\")}}function k(n){var t;switch(h){case\"playlist\":t=\"UpNext\";break;case\"showcase\":t=\"Show\";break;case\"filmstrip\":t=\"Filmstrip\";break;default:t=\"Gal\"}return $vxp.updateTracking(t,\"play\",ht,n)}function d(r){var d,v,a,c,u,nt,o;if($vxp(r).attr(\"data-geofenced\")==\"true\"||$vxp(r).attr(\"data-blockType\")||l&&it||+new Date-at<100)return!1;at=+new Date;var y=$vxp(r).attr(\"data-isExternal\")==\"true\",st=$vxp(r).attr(\"data-isMmvSupported\")==\"true\",b=$vxp(r).attr(\"data-isMultiLiteSupported\")==\"true\",e=$vxp(r).attr(\"data-videoId\");if(hi){u=$vxp(r).find(\"a.vxp_thumbClickTarget\").attr(\"href\")||$vxp(r).find(\"a.vxp_motionThumb\").attr(\"href\")||$vxp(r).find(\".title\").attr(\"href\")||$vxp(r).parents(\"a.vxp_motionThumb\").attr(\"href\"),d=$vxp(r).find(\"a.vxp_thumbClickTarget\").attr(\"data-id\")||$vxp(r).find(\"a.vxp_motionThumb\").attr(\"data-id\")||$vxp(r).find(\".title\").attr(\"data-id\")||$vxp(r).parents(\"a.vxp_motionThumb\").attr(\"data-id\")||$vxp(r).find(\"a.vxp_thumbClickTarget\").attr(\"data-instKey\");try{PlayVideo(d,u)}catch(ct){}}else if(st&&ui(y)&&window.MsnVideoUx&&MsnVideoUx.launchOverlayPlayer){var ot=g.widget.configId.$||g.widget.label.$,rt=g.widget.csid.$,et=$vxp.getPageWidget().getConfig(\"hubDomain\"),ut=tt,ht=$vxp.isHub?!0:!1;MsnVideoUx.launchOverlayPlayer(ot,rt,{DynamicInitialVideoId:e,DynamicPlaylistQuery:ut,DynamicModules:\"video\",Preview:\"true\"},{hubDomain:et,loadCss:ht},!1,!0)}else{if(ft(y,b)||gt)return v=$vxp(r).attr(\"data-selectedImgSrc\"),a=parseInt($vxp(r).attr(\"data-dataIndex\")),i==\"Dtp\"?$vxp.fireEvent(\"photoClicked\",{id:e,metadata:{selectedImgSrc:v,dataIndex:a,source:h}},f):i==\"Gallery\"?$vxp.fireEvent(\"playSlideshow\",{id:e,metadata:{source:h}},f):b?(c=k(),lt?$vxp(n).fireEvent(\"playVideo\",{id:e,metadata:{supportedPlayers:$vxp(r).attr(\"data-playerAdapter\"),playerSource:c,selectedImgSrc:v,dataIndex:a,source:h,playlist:tt}},s?$vxp(\"#\"+s):null):$vxp(n).fireEvent(\"playVideo\",{id:e,metadata:{supportedPlayers:$vxp(r).attr(\"data-playerAdapter\"),playerSource:c,selectedImgSrc:v,dataIndex:a,source:h}},s?$vxp(\"#\"+s):null),o=$vxp(r).attr(\"data-activityId\"),$vxp.vxpGlobal.smartPool.ping(w,i==\"Dtp\"||i==\"Gallery\"?\"pg\":\"vg\",{click:o})):(c=k(!0),u=$vxp.setUrlParam(document.location.href.toString(),\"videoId\",e),window.location=u),!1;u=$vxp(r).find(\"a.vxp_thumbClickTarget\").attr(\"href\")||$vxp(r).find(\"a.vxp_motionThumb\").attr(\"href\")||$vxp(r).find(\".title\").attr(\"href\")||$vxp(r).parents(\"a.vxp_motionThumb\").attr(\"href\"),nt=$vxp(r).find(\"a.vxp_thumbClickTarget\").attr(\"target\")||$vxp(r).find(\"a.vxp_motionThumb\").attr(\"target\")||$vxp(r).find(\".title\").attr(\"target\")||$vxp(r).parents(\"a.vxp_motionThumb\").attr(\"target\");if(u){o=$vxp(r).attr(\"data-activityId\"),$vxp.vxpGlobal.smartPool.ping(w,i==\"Dtp\"||i==\"Gallery\"?\"pg\":\"vg\",{click:o}),lt&&t.contentsource!=\"SmartPool\"&&(u+=\"?DefaultPlaylist=\"+encodeURIComponent(tt));if(nt==\"_blank\")return window.open(u,\"_blank\"),!1;k(!0);if(!p)return document.location=u,!1}}return!0}function ot(){return t.sitetypename==\"image\"}var t=$vxp(n).getConfigs(),f=t.eventtarget,yt=t.usesmartmotionthumbs,pt=t.smartpreviewplayerurl,wt=t.removegeofencedvideos,bt=t.userplaylistenabled,lt=t.passgalleryasplaylist,w=t.smartpoolpingserviceurl,dt=t.tooltiporientation,gt=t.alwayssendplayevent,p=t.removeonclickhandler,it=t.preventclicksduringads,si=t.noadclicktext,ti=t.nospping,ii=t.minutestext,ci=null,ct,i=t.datacatalog,s=t.linkedplayerid,u=$vxp(n).attr(\"id\"),h=t.clicksource,g=t.multimediaviewer,li=t.videocontent,tt=t.rawvideocontent,ht=t.tracking,hi=$vxp(n).getConfig(\"CallExternalMethodForPlayback\")==!0,l=$vxp.vxpGlobal.playerAdapter()?$vxp.vxpGlobal.playerAdapter().isAdPlaying():!1,at=0,vt=i==\"Dtp\"||$vxp(n).parents(\".vxp_photoGallery\").length>0,oi=isBrowserSafari&&$vxp.hasFlash(9),ei=t.tmxtext,r,b,rt,nt,a,st;$vxp(n).registerDispose(function(){fi(),$vxp(n).find(\"li.vxp_gallery_item\").each(function(){var n=$vxp(this);$vxp(n).find(\"a.vxp_addToQueueButton\").each(function(){var n=$vxp(this);n.siblings(\"div.vxp_addedToQueue\").stop(!0,!1),n.siblings(\"div.vxp_addedToQueueButton\").stop(!0,!1)})})});var ft=function(n,r){if(i==\"Dtp\"&&$vxp.find(\"div.vxpPhotoViewer\").length>0)return!0;if(i==\"Gallery\"&&ot())return!1;if(i==\"Gallery\"&&$vxp.find(\"div.vxpSlideshow\").length>0)return!0;if(!n&&i==\"Video\"&&t.playbackmode==\"Standard\"){var u=$vxp.find(\"div.vxp_player\");if(u.length>0||$vxp.find(\"div.vxp_multiplayerLite\").length>0){if(r)return!0;if($vxp(u).parents(\"div.vxp_videoModule\").length>0)return!0}}return!1},ui=function(n){return!n&&t.playbackmode==\"MultimediaViewer\"&&window.MsnVideoUx?!0:!1},ut=function(n){var u=$vxp(n).attr(\"data-hoverImgSrc\"),i,r,t;u?(i=$vxp('<img class=\"vxp_thumbSize\" />'),$vxp(i).attr(\"src\",u),$vxp(i).addClass(\"hoverImage\"),$vxp(i).hide(),$vxp(n).find(\"div.vxp_hoverThumb\").append(i).show(),$vxp(n).find(\"a.vxp_motionThumb.vxp_playerUrl\").hover(function(){$vxp(i).show()},function(){$vxp(i).hide()})):$vxp.frontDoorMode&&isBrowserSafari&&!oi?($vxp(n).find(\".vxp_motionThumbContainer\").remove(),r=$vxp(n).attr(\"data-externalUrl\"),r&&($vxp(n).attr(\"data-isExternal\",\"true\"),$vxp(n).find(\"a.vxp_playerUrl\").each(function(){$vxp(this).attr(\"href\",r)}))):yt&&!isBrowserSafari?($vxp.MotionThumb.setPlayerUrl(pt),$vxp.MotionThumb.bind($vxp(n),d)):($vxp(n).find(\".vxp_motionThumbContainer\").remove(),p||$vxp(n).find(\"a.vxp_motionThumb\").click(function(n){return n.preventDefault(),!1})),t=n,$vxp(n).find(\"img.vxp_thumb, a.vxp_thumbClickTarget, div.vxp_thumbnailOverlayTooltip, div.vxp_playButtonPositionForegroundCenter, div.vxp_playButtonPositionForegroundBottomRight, div.vxp_vidAdvertisementBackground\").click(function(n){return p||n.preventDefault(),d(t)}),$vxp(n).find(\"a.vxp_motionThumb, img.vxp_thumb, a.vxp_thumbClickTarget, div.vxp_thumbnailOverlayTooltip, div.vxp_playButtonPositionForegroundCenter, div.vxp_playButtonPositionForegroundBottomRight, div.vxp_vidAdvertisementBackground\").each(function(){var n=$vxp(this);n.keydown(function(n){if(n.keyCode==13||n.keyCode==32)return p||n.preventDefault(),d(t)})});var f=$vxp(t).attr(\"data-isHtml5Supported\")==\"false\",e=$vxp(t).attr(\"data-playerType\")==\"YouTube\"||$vxp(t).attr(\"data-playerType\")==\"Bing\"&&$vxp(t).attr(\"data-playerAdapter\")==\"YouTube\",o=$vxp(t).attr(\"data-playerType\")==\"DailyMotion\"||$vxp(t).attr(\"data-playerType\")==\"Bing\"&&$vxp(t).attr(\"data-playerAdapter\")==\"DailyMotion\",s=isPluginsUnsupported&&f&&!e&&!o;s&&(y(t,ei,null,!1,.2,!1,\"tmx\"),$vxp(t).find(\"a.vxp_addToQueueButton\").css(\"display\",\"none\"))};$vxp(n).attr(\"data-refresh\")&&$vxp(n).vxpFind(\"li.vxp_gallery_item\").each(function(){var n=$vxp(this).attr(\"data-attributions\");n&&$vxp.fireEvent(\"attributions\",n)});var ri=function(i){$vxp(n).vxpFind(\"li.vxp_gallery_item\").each(function(){var s=this,f=!1,n,r,u,e,o;if(i){n=$vxp(this).attr(\"data-geoFence\");if(n){r=n.length>i.length?n.length:i.length,r%2&&r++;while(n.length<r)n=\"0\"+n;while(i.length<r)i=\"0\"+i;for(u=0;u<i.length/2;++u){e=parseInt(i.substr(u*2,2),16),o=parseInt(n.substr(u*2,2),16);if(e&o){f=!0;break}}f&&y(this,t.geomessagetext,null,null,null,null,\"geofence\")}}})},y=function(n,t,i,r,u,f,e){var l=$vxp(n).attr(\"data-blockType\"),s,h,o,c;l||($vxp(n).attr(\"data-blockType\",e),wt&&!f?($vxp(n).remove(),$vxp.fireEvent(\"geoFencedVideoRemoved\",{videoId:$vxp(n).attr(\"data-videoId\")})):(s=$vxp('<div class=\"vxp_geoFenced vxp_thumbSize\"></div>'),h=$vxp('<div class=\"vxp_geoFencedText\"></div>'),$vxp(s).append(h),$vxp(h).text(t),r||$vxp(n).find(\"a.vxp_addToQueueButton\").css(\"visibility\",\"hidden\"),$vxp(n).find(\"img.vxp_thumb\").css(\"opacity\",u||.3),$vxp(n).find(\"span.vxp_motionThumbContainer\").hide(),$vxp(n).find(\"div.vxp_playButtonPositionContainer\").hide(),$vxp(n).find(\"div.vxp_info\").addClass(\"vxp_geoFencedInfo\"),r||$vxp(n).attr(\"data-geofenced\",\"true\"),o=$vxp(n).find(\"a\"),i?o.attr(\"href\",i):(o.addClass(\"vxp_dim\"),r?$vxp(n).find(\"img.vxp_thumb, .vxp_title\").css(\"cursor\",\"pointer\"):(o.unbind(\"click\").bind(\"click\",function(n){return n.preventDefault(),!1}),o.find(\"IMG\").unbind(\"click\").bind(\"click\",function(){return!1}),$vxp(n).find(\"img.vxp_thumb, .vxp_title\").css(\"cursor\",\"default\")),c=$vxp(n).find(\"a.vxp_motionThumb\"),$vxp(c).length?$vxp(c).append(s):$vxp(n).find(\"div.vxp_extra\").addClass(\"geoFencedList vxp_tb1\").html(t))))},et=function(n,t){var r=$vxp(n).attr(\"data-blockType\"),i;r==t&&($vxp(n).attr(\"data-blockType\",\"\"),$vxp(n).find(\"a.vxp_addToQueueButton\").css(\"visibility\",\"visible\"),$vxp(n).find(\"img.vxp_thumb\").css(\"opacity\",1),$vxp(n).find(\"span.vxp_motionThumbContainer\").show(),$vxp(n).find(\"div.vxp_playButtonPositionContainer\").show(),$vxp(n).find(\"div.vxp_info\").removeClass(\"vxp_geoFencedInfo\"),$vxp(n).find(\"img.vxp_thumb, .vxp_title\").css(\"cursor\",\"pointer\"),i=$vxp(n).find(\"a.vxp_playerUrl\"),$vxp(i).removeClass(\"vxp_dim\"),$vxp(n).find(\".vxp_geoFenced\").hide())},c=function(n){return{id:$vxp(n).attr(\"data-videoId\"),wholeTitle:$vxp(n).find(\".vxp_tooltip_data\").attr(\"data-title\"),title:$vxp(n).data(\"title\")?$vxp(n).data(\"title\"):$vxp(n).find(\".vxp_tooltip_data .vxp_title\"),subTitle:$vxp(n).find(\".vxp_tooltip_data .vxp_networkLabel\").text(),dateLabel:$vxp(n).find(\"em.vxp_gallery_dateLabel\").text(),date:$vxp(n).find(\"em.vxp_gallery_date\").text(),duration:$vxp(n).find(\"em.vxp_gallery_duration\").text(),views:$vxp(n).find(\".vxp_views\").eq(0).text(),description:$vxp(n).find(\".vxp_description\").eq(0).text(),playerLink:$vxp(n).find(\"div.vxp_gallery_thumb\").children(\"a\").attr(\"href\"),thumbImage:$vxp(n).find(\"img\").attr(\"src\"),motionThumb:$vxp(n).attr(\"data-motionThumb\"),selectedImage:$vxp(n).attr(\"data-selectedImgSrc\"),rating:$vxp(n).find(\".vxp_rating\").eq(0).text(),groupName:$vxp(n).find(\"div.vxp_source\"),isBing:$vxp(n).attr(\"data-isBing\"),dataSource:$vxp(n).attr(\"data-dataSource\"),playerType:$vxp(n).attr(\"data-playerType\"),isExternalPlayer:$vxp(n).attr(\"data-externalPlayer\"),providerId:$vxp(n).attr(\"data-providerId\"),isExternal:$vxp(n).attr(\"data-isExternal\"),copyright:$vxp(n).attr(\"data-copyright\"),playerAdapter:$vxp(n).attr(\"data-playerAdapter\")}},ni=function(){for(var n in $vxp.vxpGlobal.players)($vxp.vxpGlobal.players[n].type==\"msn:silverlight\"||$vxp.vxpGlobal.players[n].type==\"msn:flash\")&&v(!0)};for(u in $vxp.vxpGlobal.players)$vxp.vxpGlobal.players[u].adapter&&$vxp.vxpGlobal.players[u].adapter.isAdPlaying()&&($vxp.vxpGlobal.players[u].type==\"msn:silverlight\"||$vxp.vxpGlobal.players[u].type==\"msn:flash\")&&v(!0);$vxp.subscribeEvent(\"adPlaying\",function(){l=!0,ni()},u,s),$vxp.subscribeEvent(\"adComplete\",function(){l=!1,v(!1)},u,s),$vxp.subscribeEvent(\"mmvClose\",function(){l=!1,v(!1)}),b=!1,f&&$vxp(n).parents(\".vxp_showcase\").length>0&&$vxp(n).find(\"li.vxp_gallery_item:first\").mouseover(),f&&$vxp(n).parents(\".vxp_filmstrip\").length>0&&$vxp(n).find(\"li.vxp_gallery_item:first\").mouseover(),rt=function(){var n=$vxp.vxpGlobal.geo.data();ct||(ct=!0,ri(n.countryFlags))},$vxp(n).subscribeEvent(\"galleryAddVideo\",function(t){ht=\"UserPlaylist\",$vxp(n).find(\".vxp_videoqueuegrid\").append(t),ut(t)}),$vxp.vxpGlobal.geo.isReady()?rt():$vxp(n).subscribeEvent(\"geoDataReady\",rt),nt=$vxp.vxpFind(\"div.vxp_videoModule\"),!bt||nt.find(\".vxp_widgetMode\").length||nt.find(\".vxp_slotMode\").length||$vxp(n).find(\"a.vxp_addToQueueButton\").addClass(\"active\"),a=t.smartpoolvideoids,a&&a!=\"\"&&!ti&&(st=a.split(\",\"),$vxp.vxpGlobal.smartPool.ping(w,i==\"Dtp\"||i==\"Gallery\"?\"pg\":\"vg\",{views:st})),kt()});(function(n){function b(i,u){n(i).find(\".vxp_motionThumb\").click(function(t){return t.preventDefault(),o||u(n(i)),!1}),n(i).find(\".vxp_motionThumb\").hover(function(){var e,s,i,o,h;t&&r(),n(this)[0].clickFunc=u,e=n(this).parents(\".vxp_gallery_item\").attr(\"data-motionThumb\"),s=\"motion_thumb_\"+y++;if(e==\"\"||e==f||!n.hasFlash(9))return;f=e,i=n(this).find(\".vxp_motionThumbContainer\"),o=n(this).find(\".vxp_thumb\"),i.width(o.width()),i.height(o.height()),h=it(e,s),i.html(h),t=n(this).find(\"OBJECT\"),t.css(\"position\",\"relative\"),t.css(\"left\",o.width()-1),i.css(\"background-position\",o.width()/2-12+\"px center\"),i.addClass(\"vxp_loading\")},function(){r()})}function tt(){if(t){var n=t.parents(\".vxp_motionThumb\")[0];n.clickFunc&&n.clickFunc(t.parents(\".vxp_gallery_item\"))}}function p(){setTimeout(function(){setTimeout(function(){t&&t.parent(\".vxp_motionThumbContainer\").removeClass(\"vxp_loading\")},0),t&&t.css(\"left\",\"0px\"),o=!0,l&&setTimeout(function(){t&&window.alert(\"Version: \"+t[0].getVersion())},100)},100)}function r(){o=!1;if(t){var n=t.parent(\".vxp_motionThumbContainer\");h(t[0]),n.html(\"\"),n.removeClass(\"vxp_loading\"),t=null,f=null}}function v(){var t,f,r,o;i=!i;if(c)return;t=\"\",f=window.location.host.split(\".\"),f.length>=3&&(t=\".\"+f.slice(-2).join(\".\"));var h=new RegExp(\"([&=])\"+s+\"=[0|1]\",\"i\"),e=s+\"=\"+(i?1:0),l=document.cookie.match(new RegExp(\"(^| )\"+u+\"=.*?(;|$vxp)\",\"i\")),n=u+\"=\";l&&(n=l[0],n=n.replace(/(^ +)|(;$vxp)/g,\"\"),n=n.replace(h,\"$vxp1\"+e)),n.match(h)||(n+=n==u+\"=\"?e:\"&\"+e),r=new Date,r.setTime(r.getTime()+w),o=[n,\"expires=\"+r.toGMTString(),\"path=\"+d],t!=\"\"&&o.push(\"domain=\"+t),document.cookie=o.join(\"; \")}function a(){if(c)i=!0;else{var n=document.cookie.match(new RegExp(\"(^| )\"+u+\"=.*?&?\"+s+\"=([01])\",\"i\"));i=!n||n[2]==\"0\"?!1:!0}}function h(t){if(n.browser.msie)try{t.style.display=\"none\";for(var i in t)typeof t[i]==\"function\"&&(t[i]=nul)}catch(r){}else t&&(t.style.display=\"none\")}function g(){for(var i=n(\".vxp_motionThumb OBJECT\"),t=i.length-1;t>=0;--t)h(i[t])}function it(n,t){a();var r=\"playerMode=embedded&playUrl=\"+encodeURIComponent(n)+\"&vMute=\"+i+\"&id=\"+t+\"&mode=\"+nt;return'<object type=\"application/x-shockwave-flash\" data=\"'+e+'\" class=\"vt_fp\" id=\"'+t+'\" ><param name=\"movie\" value=\"'+e+'\" /><param name=\"FlashVars\" value=\"'+r+'\" /><param name=\"allowscriptaccess\" value=\"always\" /><param name=\"quality\" value=\"best\" /><param name=\"wmode\" value=\"transparent\" /></object>'}var y=0,e,t,k,f,i=!0,nt=\"fill\",o=!1,c=n(document.body).find(\".watchTemplate\").length>0,l=!1,u=\"SRCHHPGUSR\",s=\"VMUTE\",d=\"/\",w=63072e6;isBrowserSafari||(n.MotionThumb={status:function(n){n==\"Error\"&&r(),n==\"MouseOut\"&&(t.parents(\".vxp_tooltipTarget\").hover(),r()),n==\"NetStream.Play.Start\"&&p(),n==\"NetStream.Play.Stop\"&&r(),n==\"Click\"&&tt(),n==\"Mute\"&&v()},setPlayerUrl:function(n){e=n},setClickFunction:function(n){k=n},bind:function(n,t){b(n,t)},cleanAll:g,debug:function(n){l=n}})})($vxp);var nul=function(){};$vxp.browser.msie&&(window.onbeforeunload=function(){__flash_unloadHandler=nul,__flash_savedUnloadHandler=nul,__flash__removeCallback=function(n,t){n&&(n[t]=null)},$vxp.MotionThumb&&window.attachEvent(\"onunload\",$vxp.MotionThumb.cleanAll)});$vxp.registerWidget(\"pagedGallery\",function(n){function ct(){e!=null&&!isNaN(e)&&e>0?(e=Math.floor(e*1e3),ft()):e=0}function ft(){e>0&&(w(),v=setInterval(gt,e))}function w(){v!=-1&&(clearInterval(v),v=-1)}function ht(){rt=!1}function dt(){rt=!0}function gt(){if(!rt){var r=!1;$vxp(n).parents(\".vxp_tab_widget\").each(function(){$vxp(this).attr(\"data-selected\")==\"false\"&&(r=!0)}),r||(i<t.pagetotal?f(i+1):i!=1&&f(1))}}var t=$vxp(n).getConfigs(),ri=t.eventtarget,lt=t.targetid,at=!1,ni=t.playbackmode==\"Standard\"&&$vxp.vxpFind(\"div.vxp_player\").length>0,i=t.currentpage,vt=t.totaldata,it=t.paginationstyle,s=!1,e=t.autopaginatedelay,v=-1,rt=!1,c,st=t.pagesize,l=t.activeitemindex,ot=l+1,bt=t.smartpooltkserviceurl,p=t.videocontent?t.videocontent.videoQuery.videoFilter:null,ti=p?p.dataCatalog.$:null,ii,ut=t.refreshkeyid,tt=t.refreshkeyvalue,yt=t.manualsmartpoolrefresh,kt=t.allowswipe,nt={},a,r,u,f,b,et,h,y;nt[i]=!0,a=!1,$vxp(n).registerDispose(function(){w(),c&&(clearTimeout(c),c=null),u&&$vxp(u).stop(!0,!1),r&&$vxp(r).stop(!0,!1),$vxp(n).stop(!0,!1)});if(t.doclientrefresh)if(p.type.$==\"SmartPool\"){$vxp.vxpGlobal.smartPool.getTargetingKey(bt,function(i){p.smartPoolTargetingKey={$:i},yt?$vxp(n).fireEvent(\"smartPoolRefreshReady\",i):($vxp(n).setConfig(\"VideoContent\",t.videocontent),$vxp(n).widgetRefresh())});return}$vxp(n).subscribeEvent(\"videoChanged\",function(t){var f=!1,r,i,e,o,u;if(tt)for(f=!0,r=t.refreshKeys,i=0;i<r.length;i++){e=r[i].id,o=r[i].value;if((e==ut||\"*\"==ut)&&(o==tt||\"*\"==tt)){f=!1;break}}f&&(u=$vxp(n).getConfig(\"VideoContent\"),u.videoQuery.videoFilter.smartPoolTargetingKey&&(delete u.videoQuery.videoFilter.smartPoolTargetingKey,$vxp(n).setConfig(\"VideoContent\",u)),$vxp(n).setConfig(\"VideoId\",t.uuid),w(),$vxp(n).widgetRefresh())});var wt=function(n){return Math.floor(n/st)+1},pt=function(n){return(n-1)*st},g=function(){var r=pt(i),u=l-r,f=ot-r,t=$vxp(n).find(\"div[data-videolist=front]\").find(\".vxp_gallery_item\");t.removeClass(\"vxpActiveItem\"),$vxp(t[u]).addClass(\"vxpActiveItem\"),t.removeClass(\"vxpUpNextItem\"),u!=f&&$vxp(t[f]).addClass(\"vxpUpNextItem\")};$vxp(n).parents(\".vxp_smallGallery\").length!=0?a=$vxp(n).parents(\".vxp_smallGallery\").getConfig(\"iscirculationmodule\"):$vxp(n).parents(\".vxp_photoGallery\").length!=0&&(a=$vxp(n).parents(\".vxp_photoGallery\").getConfig(\"iscirculationmodule\")),jQueryWait(function(){a&&$vxp.reportCircularModuleLoad({evt:\"xnet\",xnet:\"vicross\"})}),f=function(f){var v,a,o,h,e;if(s)return;v=f>i,i=f,a=$vxp(n).getConfig(\"PersistentQueryStringParams\"),s=!0,o=function(t){var f,e;if(it==\"Carousel\"&&$vxp(n).is(\":visible\")){var o=8,i=$vxp(u).width(),c=$vxp(u).height(),h=1e3;$vxp(n).find(\".vxpGalleryContainer\").width(i),$vxp(n).find(\".vxpGalleryContainer\").height(c),$vxp(n).find(\".vxpGalleryContainer\").css(\"position\",\"relative\"),$vxp(n).find(\".vxpGalleryContainer\").css(\"overflow\",\"hidden\"),$vxp(u).width(i),$vxp(u).css(\"position\",\"absolute\"),v?(f=i+o,e=-i):(f=-(i+o),e=i),$vxp(r).width(i),$vxp(r).css(\"position\",\"absolute\"),$vxp(r).css(\"left\",f+\"px\"),$vxp(r).css(\"display\",\"block\"),$vxp(u).animate({left:e},h),$vxp(r).animate({left:0},h,null,function(){$vxp(n).find(\".vxpGalleryContainer\").css(\"position\",\"static\"),$vxp(n).find(\".vxpGalleryContainer\").css(\"overflow\",\"visible\"),$vxp(u).css(\"position\",\"static\"),$vxp(u).css(\"display\",\"none\"),$vxp(r).css(\"position\",\"static\"),s=!1})}else $vxp(u).hide(),$vxp(r).show(),s=!1;ft(),t()},h=function(){u.attr(\"data-videolist\",\"back\"),r.attr(\"data-videolist\",\"front\"),g(),at=!1,$vxp(n).fireEvent(\"galleryPageChanged\",f)},$vxp(n).getConfig(\"RenderAllPages\")?(u=$vxp(n).find(\"div[data-videolist=front]\"),r=$vxp($vxp(n).find(\"div[data-videolist]\")[f-1]),o(h)):(r=$vxp(n).find(\"div[data-videolist=back]\"),u=$vxp(n).find(\"div[data-videolist=front]\"),e=$vxp(r).children(\".vxp_gallery\"),$vxp(e).getConfig(\"currentpage\")==f&&$vxp(e).html()?o(h):($vxp(n).setLoadingMode(!0),$vxp(e).setConfig(\"currentpage\",f),$vxp(e).setConfig(\"ActiveItemIndex\",l),$vxp(e).setConfig(\"VideoContent\",$vxp(n).getConfig(\"MmvGallery\")?\"\":t.videocontent),$vxp(e).setConfig(\"NoSpPing\",nt[f]?\"true\":\"false\"),a&&$vxp(e).setConfig(\"PersistentQueryStringParams\",a),nt[f]=!0,$vxp.browser.msie&&$vxp.browser.version<9||$vxp(n).animate({opacity:.4},200),$vxp(e).widgetRefresh(function(){c=setTimeout(function(){c=null,$vxp.browser.msie&&$vxp.browser.version<9||$vxp(n).animate({opacity:1},200),o(h)},100),$vxp(n).setLoadingMode(!1)}))),$vxp.fireEvent(\"PaginationPageChangedEvent\",f,lt+\"_paging\")},$vxp(n).subscribeEvent(\"paginationEvent\",function(n){loding=!0,n=parseInt(n),f(n)}),$vxp(n).subscribeEvent(\"updateActiveGalleryItem\",function(t){var u=t+1,r;u>=vt&&(u=0),r=wt(t),l=t,ot=u,r>0&&(r!=i?($vxp(n).find(\"div.vxp_gallery_item\").removeClass(\"vxpActiveItem\").removeClass(\"vxpUpNextItem\"),f(r),$vxp(n).fireEvent(\"PaginationPageChangedEvent\",r,$vxp(n).find(\".vxp_pagination\")),o()):g())}),$vxp(n).subscribeEvent(\"filterEvent\",function(t){var e=$vxp(n).getConfig(\"VideoContent\"),o=$vxp(n).getConfig(\"PersistentQueryStringParams\"),r=e.videoQuery.videoFilter,i,f,u;for(r.tags&&r.tags.tag?i=$vxp.asArray(r.tags.tag):(i=[],r.tags||(r.tags={})),r.tags.tag=i,f=!1,u=0;u<i.length;u++)if(i[u].$namespace==t.nameSpace){i[u].$=t.tag,f=!0;break}f||i.push({$:t.tag,$namespace:t.nameSpace}),$vxp(n).setConfig(\"VideoContent\",e),o&&$vxp(n).setConfig(\"PersistentQueryStringParams\",o),w(),$vxp(n).setLoadingMode(!0),$vxp(n).widgetRefresh()}),$vxp(n).hover(function(){dt()},function(){ht()});if(it==\"Carousel\"){var d=$vxp(n).find(\"div.vxpCarouselLeftArrowContainer\"),k=$vxp(n).find(\"div.vxpCarouselRightArrowContainer\"),o=function(){if(it==\"Carousel\"){var n=t.pagetotal;i==1?d.removeClass(\"active\"):d.addClass(\"active\"),i==n?k.removeClass(\"active\"):k.addClass(\"active\")}};d.click(function(){$vxp(this).hasClass(\"active\")&&(f(i-1),o())}).hover(function(){$vxp(this).hasClass(\"active\")&&$vxp(this).addClass(\"hover\")},function(){$vxp(this).removeClass(\"hover\")}),k.click(function(){$vxp(this).hasClass(\"active\")&&(f(i+1),o())}).hover(function(){$vxp(this).hasClass(\"active\")&&$vxp(this).addClass(\"hover\")},function(){$vxp(this).removeClass(\"hover\")}),o(),b=window.navigator.userAgent,et=b.match(/iPad/i)||b.match(/iPhone/i)||b.match(/Android/i),et&&kt&&(h=-1,y=-1,$vxp(n).bind(\"touchstart\",function(n){var t=n.originalEvent.touches[0];h=t.pageX}).bind(\"touchend\",function(){if(h!=-1&&y!=-1){var u=y-h,e=300,c=Math.min(1,Math.abs(u/e)),l=u<0,r=l?i+1:i-1;c>.25&!s&&r>0&&r<=t.pagetotal&&(f(r),o())}}).bind(\"touchmove\",function(n){n.preventDefault();if(h!=-1){var e=n.originalEvent.touches[0],c=y=e.pageX,u=c-h,l=300,a=Math.min(1,Math.abs(u/l)),v=u<0,r=v?i+1:i-1;a>.5&&!s&&r>0&&r<=t.pagetotal&&(f(r),o())}}))}l!=0&&g(),ct()},function(n,t){var u=$vxp(n).getConfigs(),i,r;return t.label&&t.label!=\"\"&&(i=$vxp(n).parents(\".vxp_tabbedContainer\"),r=i.find(\".vxp_tab_widget\").index($vxp(n).parents(\".vxp_tab_widget\")),-1!=r&&$vxp(i.find(\".vxp_tab\").get(r)).html(t.label)),t});$vxp.registerWidget(\"filteredGallery\",function(n){$vxp(n).subscribeEvent(\"filterEvent\",function(t){var e=$vxp(n).getConfig(\"VideoContent\"),r=e.videoQuery.videoFilter,i,f,u;for(r.tags&&r.tags.tag?i=$vxp.asArray(r.tags.tag):(i=[],r.tags||(r.tags={})),r.tags.tag=i,f=!1,u=0;u<i.length;u++)if(i[u].$namespace==t.nameSpace){i[u].$=t.tag,f=!0;break}f||i.push({$:t.tag,$namespace:t.nameSpace}),$vxp(n).setConfig(\"VideoContent\",e),$vxp(n).setLoadingMode(!0),$vxp(n).widgetRefresh()}),$vxp(n).subscribeEvent(\"sortEvent\",function(t){var i=$vxp(n).getConfig(\"VideoContent\");i.videoQuery.videoSort=t.sort,$vxp(n).setConfig(\"VideoContent\",i),$vxp(n).setLoadingMode(!0),$vxp(n).widgetRefresh()})});$vxp.registerWidget(\"searchGallery\",function(n){var e=/chrome/.test(window.navigator.userAgent.toLowerCase()),t=null,r=function(){null==t&&(t=0<$vxp(document.body).find(\".watchTemplate\").length),t&&($vxp.fireEvent(\"CountdownPauseRequest\",!0),$vxp.fireEvent(\"CountdownCancelRequest\",!0))},i,u=function(){var u,t,e,r;for(i=!1,u=$vxp(n).find(\".vxp_searchHeader .vxp_searchTerm\"),t=0;t<u.length;t++)r=u[t],t==0&&(e=$vxp(r).position().top),$vxp(r).position().top>e&&($vxp(r).remove(),i=!0);i?$vxp(n).find(\".vxp_searchFooter\").show():$vxp(n).find(\".vxp_searchFooter\").hide(),$vxp(n).find(\".vxp_searchTerm\").click(function(){return f($vxp(this).text()),!1})},f=function(t){if(t){r(),$vxp(n).find(\".vxp_searchBox\").attr(\"value\",t);var i=$vxp(n).find(\".vxp_searchGallery2\");$vxp(i).setLoadingMode(!0),$vxp(i).setConfig(\"QueryTerm\",t),$vxp(i).setConfig(\"ST\",\"UI\"),$vxp(i).widgetRefresh(function(){var i=$vxp(n).find(\".vxp_searchFooter .vxp_relatedSearchesList\"),t=i.html(),r;t?($vxp(n).find(\".vxp_searchHeader .vxp_relatedSearchesList\").empty(),e&&(t=\"<object>\"+t+\"</object>\"),$vxp(n).find(\".vxp_searchHeader .vxp_relatedSearchesList\").html(t),r=$vxp(i).attr(\"data-usedPopular\"),\"true\"==r?($vxp(n).find(\".vxp_searchHeader .vxp_popularSearchesLabel\").show(),$vxp(n).find(\".vxp_searchHeader .vxp_relatedSearchesLabel\").hide(),$vxp(n).find(\".vxp_searchFooter\").hide()):($vxp(n).find(\".vxp_searchHeader .vxp_popularSearchesLabel\").hide(),$vxp(n).find(\".vxp_searchHeader .vxp_relatedSearchesLabel\").show()),$vxp(n).find(\".vxp_searchHeader .vxp_relatedSearches\").show(),u()):$vxp(n).find(\".vxp_searchHeader .vxp_relatedSearches\").hide()})}};$vxp(n).find(\".vxp_searchBox\").each(function(){$vxp(this).focus(function(){r(),\"true\"==$vxp(this).attr(\"data-clearPrompt\")&&($vxp(this).attr(\"value\",\"\"),$vxp(this).attr(\"data-clearPrompt\",!1)),$vxp(this).select()}),$vxp(this).keypress(function(t){return 13==t.which?($vxp(n).find(\".vxp_searchButton\").click(),!1):!0})}),$vxp(n).find(\".vxp_searchButton\").click(function(){var t=$vxp(n).find(\".vxp_searchBox\");return\"true\"!=$vxp(t).attr(\"data-clearPrompt\")&&f(t.attr(\"value\")),!1}),u()});$vxp.registerWidget(\"searchGallery2\",function(n){function f(){if(t&&t.length){var n=$vxp(\".sw_qbox\");n.length&&n[0].value==\"\"&&(n[0].value=t)}}var t=$vxp(n).getConfig(\"QueryTerm\"),r,u,i;$vxp(n).find(\".vxp_hideResults\").click(function(){return $vxp(n).hide(),!1});if(null!=t&&$vxp.frontDoorMode){for(r=t.split(\" \"),u=[],i=0;i<r.length;i++)r[i].toLowerCase().indexOf(\"filterui:\")<0&&r[i].toLowerCase().indexOf(\"browse:\")<0&&u.push(r[i]);t=u.join(\" \"),f(),setTimeout(f,1e3)}});$vxp.registerWidget(\"watchPageGallery\",function(n){function e(){if(t&&t.length){var n=$vxp(\".sw_qbox\");n.length&&n[0].value==\"\"&&(n[0].value=t)}}var t,r,u,f,i;$vxp(n).children().length==0&&(t=$vxp.cookie(\"q\"),r=$vxp.qsp(\"q\"),r&&(r=r.replace(/\\+/g,\" \"),t=decodeURIComponent(r)),$vxp(n).setConfig(\"SearchQuery\",t),$vxp(n).widgetRefresh());if(null!=t&&$vxp.frontDoorMode){for(u=t.split(\" \"),f=[],i=0;i<u.length;i++)u[i].toLowerCase().indexOf(\"filterui:\")<0&&u[i].toLowerCase().indexOf(\"browse:\")<0&&f.push(u[i]);t=f.join(\" \"),e(),setTimeout(e,1e3)}});$vxp.registerWidget(\"flipper,contentGalleryFlipper\",function(n){function l(){i&&(clearInterval(i),i=null)}function s(i,u){var f,e,s;i!=t&&(f=$vxp(n).find(\".vxpFlipperSmallPane\").eq(t),e=$vxp(n).find(\".vxpFlipperSmallPane\").eq(i),f.find(\".vxpFlipperOverlay\").css({opacity:o}),e.find(\".vxpFlipperOverlay\").css({opacity:0}),s={dataIndex:i,transition:u?\"dissolve\":null},$vxp.fireEvent(\"photoClicked\",{metadata:s},h+\"_vwr\"),t=i,r=0)}function a(){if(c&&f){u||(r+=1);if(r>=f){var n=(t+1)%4;s(n,!0)}}}var h=$vxp(n).getConfig(\"EventTarget\"),c=$vxp(n).getConfig(\"AutoPlay\"),f=parseInt($vxp(n).getConfig(\"AutoPlayTime\")),t=0,r=0,e=500,o=.4,u=!1,i;$vxp(n).registerDispose(function(){l()}),$vxp(n).subscribeEvent(\"viewerPhotoChanged\",function(t){if(t&&t.metadata){var r=t.metadata.dataIndex,u=$vxp(n).find(\".vxpFlipperSmallPane\").eq(r),i=u.find(\".vxpFlipperAnchor\").attr(\"href\");i&&i!=\"#\"&&(document.location.href=i)}}),$vxp(n).find(\".vxpFlipperAnchor\").click(function(){var n=$vxp(this).attr(\"href\");return n!=\"#\"}),$vxp(n).find(\".vxpFlipperMetadata\").each(function(){var i=$vxp(this).find(\".vxpFlipperTitle\"),t=i.outerHeight();$vxp(this).css(\"opacity\",0).css(\"visibility\",\"visible\").css(\"height\",t),$vxp(this).animate({bottom:t+3,opacity:.8},e)}),$vxp(n).find(\".vxpFlipperSmallPane\").each(function(n){var r=t==n?0:o,i=$vxp(this).find(\".vxpFlipperOverlay\");i.css(\"opacity\",0).css(\"visibility\",\"visible\"),i.animate({opacity:r},e),$vxp(this).mouseover(function(){t!=n&&s(n,!1)})}),$vxp(n).hover(function(){u=!0},function(){u=!1}),i=setInterval(a,1e3)});$vxp.registerWidget(\"modernShowcase\",function(n){function ft(n,t,i,r){var e=$vxp(n).attr(\"data-blockType\"),u,f;e||($vxp(n).attr(\"data-blockType\",r),u=$vxp(n).find(\"a\"),u.unbind(\"click\").bind(\"click\",function(n){return n.preventDefault(),!1}),u.attr(\"href\",\"#\"),$vxp(n).children().each(function(n,t){$vxp(t).css(\"opacity\",.2)}),$vxp(n).find(\"img\").each(function(n,t){$vxp(t).css(\"opacity\",.2)}),f=$vxp('<div class=\"vxpgeofenceText\"></div>').text(ut),$vxp(n).append(f))}function pt(){!k&&e.length==0&&+new Date-l>wt&&s()}function c(){i>0&&(e.push(-1),setTimeout(y,1))}function s(){i>0&&(e.push(1),setTimeout(y,1))}function y(){!p&&e.length>0&&(e.shift()==-1?lt():ct())}function lt(){var n=t==0?i-1:t-1;nt(n)}function nt(n){for(var f=0;f<i;f++)f!=t&&(r[f].className=\"vxpModernShowcaseScrollPage vxpModernShowcaseHidden\");u.className=\"vxpModernShowcaseInnerScrollerPrev\",u.style.left=\"-912px\",r[t].className=\"vxpModernShowcaseScrollPageNext\",r[n].className=\"vxpModernShowcaseScrollPage\",h=t,t=n,d()}function ct(){var n=t==i-1?0:t+1;g(n)}function g(n){for(var f=0;f<i;f++)f!=t&&(r[f].className=\"vxpModernShowcaseScrollPage vxpModernShowcaseHidden\");u.className=\"vxpModernShowcaseInnerScrollerNext\",u.style.left=\"912px\",r[t].className=\"vxpModernShowcaseScrollPagePrev\",r[n].className=\"vxpModernShowcaseScrollPage\",h=t,t=n,d()}function d(){var t=Math.floor(1e3/vt+1),i=Math.floor(t*yt+1),n=u.style.left;n.lastIndexOf(\"px\")==n.length-2&&(n=parseInt(n.substring(0,n.length-2))),p=!0,b=Math.floor(n/i+1),f=setInterval(st,t)}function ht(){f&&(clearInterval(f),f=null),a&&(clearInterval(a),a=null)}function st(){var n=u.style.left;n.lastIndexOf(\"px\")==n.length-2&&(n=parseInt(n.substring(0,n.length-2))),n=n<0?Math.min(n-b,0):Math.max(n-b,0),u.style.left=n+\"px\",n==0&&(u.style.left=\"\",u.className=\"vxpModernShowcaseInnerScroller\",clearInterval(f),f=0,h!=-1&&(r[h].className=\"vxpModernShowcaseScrollPage vxpModernShowcaseHidden\",et(h,t)),p=!1,l=+new Date,y())}function ot(n){var r=n.cellIndex;e.length=0,f!=0&&(clearInterval(f),f=0),r!=t&&r<i&&(r<t?nt(r):g(r))}function et(n,t){v!=null&&(v.cells[n].firstChild.className=\"vxpModernShowcasePageBlock\",v.cells[t].firstChild.className=\"vxpModernShowcasePageBlockActive\")}function bt(){k=!0}function kt(){k=!1,l=+new Date}var at=$vxp(n).getConfigs(),ut=at.tmxtext,t=0,h=-1,u=$vxp(n).find(\"div.vxpModernShowcaseInnerScroller\")[0],tt=$vxp(n).find(\"div.vxpModernShowcaseLeftChevron\")[0],it=$vxp(n).find(\"div.vxpModernShowcaseRightChevron\")[0],rt=$vxp(n).find(\"#_PagerContainer\")[0],v=$vxp(n).find(\"#_Pager\")[0],r=$vxp(n).find(\"div.vxpModernShowcaseScrollPage\"),i=r!=null?r.length:0,p=!1,vt=30,yt=.3,wt=5e3,l=0,b=0,a=-1,f=0,e=[],k=!1,o=$vxp(n).find(\"#_heightTable\").height()+\"px\",w;$vxp(n).registerDispose(function(){ht()}),$(n).find(\".panel\").each(function(n,t){var i=$vxp(t).attr(\"data-isHtml5Supported\")==\"false\",r=$vxp(t).attr(\"data-playerType\")==\"YouTube\"||$vxp(t).attr(\"data-playerType\")==\"Bing\"&&$vxp(t).attr(\"data-playerAdapter\")==\"YouTube\",u=$vxp(t).attr(\"data-playerType\")==\"DailyMotion\"||$vxp(t).attr(\"data-playerType\")==\"Bing\"&&$vxp(t).attr(\"data-playerAdapter\")==\"DailyMotion\",f=isIPad&&i&&!r&&!u||!isIPad&&isPluginsUnsupported&&i;f&&ft(t,ut,.2,\"tmx\")}),i<2?(rt!=null&&(rt.className=\"vxpModernShowcaseCollapse\"),tt!=null&&(tt.className=\"vxpModernShowcaseLeftChevron vxpModernShowcaseHidden\"),it!=null&&(it.className=\"vxpModernShowcaseRightChevron vxpModernShowcaseHidden\")):(a=setInterval(pt,1e3),l=+new Date),$vxp(n)[0].style.height=$vxp(n).height()-1+\"px\",$vxp(n).find(\"div.vxpModernShowcaseScroller\")[0].style.height=o,$vxp(n).find(\"#_heightContent2\")[0].style.height=$vxp(n).find(\"#_heightContent\").height()+\"px\",i>0&&($vxp(n).find(\"div.vxpModernShowcaseScrollPage\")[0].style.height=o,$vxp(n).find(\"div.vxpModernShowcasePagePanel1\").each(function(){$vxp(this)[0].style.height=o}),$vxp(n).find(\"div.vxpModernShowcasePagePanel2\").each(function(){$vxp(this)[0].style.height=o}),$vxp(n).find(\"div.vxpModernShowcasePagePanel3\").each(function(){$vxp(this)[0].style.height=o})),w=$vxp(n).find(\"#_heightTable\")[0],w.deleteRow(w.rows.length-1),$vxp(n).mouseover(function(){bt()}),$vxp(n).mouseout(function(){kt()}),$vxp(n).find(\"div.vxpModernShowcaseLeftChevron\").click(function(){c()}),$vxp(n).find(\"div.vxpModernShowcaseLeftChevron\").dblclick(function(){c()}),$vxp(n).find(\"div.vxpModernShowcaseRightChevron\").click(function(){s()}),$vxp(n).find(\"div.vxpModernShowcaseRightChevron\").dblclick(function(){s()}),$vxp(n).find(\"#_leftPagerChevron\").click(function(){c()}),$vxp(n).find(\"#_leftPagerChevron\").dblclick(function(){c()}),$vxp(n).find(\"#_rightPagerChevron\").click(function(){s()}),$vxp(n).find(\"#_rightPagerChevron\").dblclick(function(){s()}),$vxp(n).find(\"#_pageBlock\").each(function(){$vxp(this).click(function(){ot(this)})})});$vxp.registerWidget(\"showcase\",function(n){function y(){var t=$vxp(n).find(\".vxp_gallery_item\"),i,r=t.index($vxp(n).find(\".vxp_gallery_item.selected\"));i=r+1<t.length?$vxp(t[r+1]):$vxp(t[0]),i.mouseover()}function e(){r&&(clearInterval(r),r=null)}function c(){e(),r=setInterval(function(){u=!1,y(),u=!0},f*1e3)}function l(r,u){var a,s,c,f,e;if(u&&o)a=i.eq(t%2),s=i.eq((t+1)%2),t++,f=$vxp.trim(r.title.text()),e=\"\",r.copyright&&(e=r.copyright,f=e),s.attr(\"title\",f).attr(\"alt\",f).attr(\"src\",r.selectedImage),s.css({opacity:0,zIndex:1,visibility:\"visible\"}),a.css(\"z-index\",0),s.animate({opacity:1},1e3),l(r,!1);else{$vxp(n).find(\".vxp_feature .vxp_hidePrompt\").removeClass(\"vxp_hidePrompt\");var h=$vxp(n).find(\".vxp_feature .vxp_title\"),y=$vxp(n).find(\".vxp_feature .vxp_description\"),p=$vxp(n).find(\".vxp_feature .vxp_text\");$vxp(y).css(\"overflow\",\"visible\").css(\"height\",\"auto\").css(\"max-height\",\"none\"),v?$vxp(h).text(r.title.text()):($vxp(h).css(\"overflow\",\"visible\"),$vxp(this).setSizedText(h,h,r.title.text(),100)),$vxp(this).setSizedText(p,y,r.description,400),c=r.playerLink,$vxp.frontDoorMode&&isBrowserSafari&&r.externalLink&&!$vxp.hasFlash(9)&&(c=r.externalLink),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"href\",c),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-id\",r.id),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-playerType\",r.playerType),f=$vxp.trim(r.title.text()),e=\"\",r.copyright&&(e=r.copyright,f=e),i.eq(t%2).attr(\"title\",f).attr(\"alt\",f).attr(\"src\",r.selectedImage),i.eq(t%2).attr(\"src\",r.selectedImage),$vxp(n).find(\".vxp_feature .vxp_anchor\").removeAttr(\"target\")}o=!0}var r,u,o,t=0,f=parseInt($vxp(n).getConfig(\"AutoAdvanceTime\")),v=$vxp(n).find(\".vxp_showcase_percentage100\").length>0,i=$vxp(n).find(\".vxp_feature .vxp_image\"),s=$vxp(n).getConfig(\"Tracking\"),h,a;f=f<1?5:f,$vxp(n).registerDispose(function(){e()}),h=$vxp(n).getConfig(\"PlaybackMode\")==\"SamePage\"||$vxp(n).getConfig(\"PlaybackMode\")==\"Auto\"&&$vxp.vxpFind(\"div.vxp_player\").length>0,$vxp(n).find(\".vxp_gallery_item .vxp_title.vxp_tl1\").each(function(){$vxp(this).removeClass(\"vxp_tl1\").addClass(\"vxp_tb2\")}),$vxp(n).find(\".vxp_feature .vxp_image, .vxp_feature .vxp_anchor\").click(function(){var i,t,r;return h?(i=$vxp.updateTracking(\"Show\",\"main\",s),$vxp.fireEvent(\"playVideo\",{id:$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-id\"),playerType:$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-playerType\"),playerSource:i,mode:\"click\"})):(t=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"href\"),r=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"target\"),t&&($vxp.writeTrackingCookie(\"Show\",\"main\",s),r==\"_blank\"?window.open(t,\"_blank\"):window.location=t)),!1}),$vxp(n).subscribeEvent(\"galleryItemHover\",function(n){l(n,!u),u&&e()}),$vxp(n).mouseout(function(){e(),c()}),c(),a=i.eq(0).attr(\"src\"),$vxp(n).find(\".vxp_gallery_item\").each(function(){var n=$vxp(this).attr(\"data-selectedImgSrc\");n!=a&&$vxp('<img src=\"'+n+'\" />')})});$vxp.registerWidget(\"superShowcase\",function(n){function ut(){var u=!1,i=$vxp(n).getConfig(\"ZuneFlight\"),t,f,r;if(i){u=!0,i=\"=\"+i.toLowerCase()+\"&\",t=$vxp.cookie(\"OVR\");if(t)for(t=t.toLowerCase(),f=t.split(\"&\"),r=0;r<f.length;++r)if(0<(f[r]+\"&\").indexOf(i)){u=!1;break}}return u}function v(n){var t=$vxp(n).data(\"origText\"),r,u,i;if(undefined==t){r=$vxp(n).find(\".vxp_descText\"),t=$vxp(r).text(),$vxp(n).data(\"origText\",t),u=parseInt($vxp(n).css(\"max-height\")),$vxp(n).css(\"max-height\",\"1000px\"),$vxp(n).css(\"height\",\"auto\");if($vxp(n).attr(\"scrollHeight\")>u){i=200,$vxp(n).hasClass(\"vxp_descriptionMedium\")?i=400:$vxp(n).hasClass(\"vxp_descriptionLarge\")&&(i=750),$vxp(n).find(\".vxp_expand\").show();while($vxp(n).attr(\"scrollHeight\")>u&&t.length>1)t=t.length>i?t.substr(0,i):t.substr(0,t.length-1),$vxp(r).text(t);while(t.substr(t.length-1,1)==\" \"||t.substr(t.length-1,1)==\".\")t=t.substr(0,t.length-1);$vxp(r).text(t)}$vxp(n).data(\"trimText\",t)}}function c(n){f&&(clearInterval(f),f=null),!0==n&&o&&(clearInterval(o),o=null)}function l(t){var i;c(!0);var r=$vxp(n).find(\".vxp_pages .vxp_current\")[0],u=$vxp(r).find(\".vxp_page2\"),f=$vxp(t).find(\".vxp_page2\");if(r.className==t.className)return;$vxp(r).removeClass(\"vxp_current\"),$vxp(u).removeClass(\"vxp_bg2\"),$vxp(u).addClass(\"vxp_bg1\"),$vxp(t).addClass(\"vxp_current\"),$vxp(f).addClass(\"vxp_bg2\"),$vxp(f).removeClass(\"vxp_bg1\"),i=$vxp(n).find(\".vxp_features .vxp_feature\"+$vxp(t).attr(\"data-feature\")),$vxp(n).find(\".vxp_features .vxp_current\").removeClass(\"vxp_current\").fadeOut(250),$vxp(i).addClass(\"vxp_current\"),o=setTimeout(function(){$vxp(i).fadeIn(250),v($vxp(i).find(\".vxp_description\")),$vxp.updateScrolling($vxp(i).find(\".vxp_scrollable\"))},250)}function nt(){var t=$vxp(n).find(\".vxp_pages .vxp_current\").prev();return $vxp(t).length||(t=$vxp(n).find(\".vxp_pages .vxp_page:last\")),l($vxp(t)[0]),!1}function k(){var t=$vxp(n).find(\".vxp_pages .vxp_current\").next();return $vxp(t).length||(t=$vxp(n).find(\".vxp_pages .vxp_page:first\")),l($vxp(t)[0]),!1}function h(){f||(f=setInterval(function(){k(),h()},s*1e3))}function g(){var h=!1,t=$vxp.cookie(\"SRCHHPGUSR\"),e,s,o,f,n;if(t){for(n=t.split(\"&\"),e=0;e<n.length;++e)if(\"loc=\"==n[e].substr(0,4).toLowerCase()){for(s=n[e].substr(4).split(\"|\"),o=0;o<s.length;++o)f=s[o].toLowerCase(),\"lat=\"==f.substr(0,4)?i=parseFloat(f.substr(4)):\"lon=\"==f.substr(0,4)?r=parseFloat(f.substr(4)):\"disp=\"==f.substr(0,5)&&(u=s[o].substr(5));i&&r&&(t=$vxp.cookie(\"videouserloc\",null),h=!0);break}}else t=$vxp.cookie(\"videouserloc\"),t&&(n=t.split(\"|\"),2<n.length&&(i=parseFloat(n[1]),r=parseFloat(n[2]),u=n[0],h=!0));return h}function e(t,i,r){var u,f;return u=r?$vxp(n).getConfig(\"BingLinkBase\")+t+\"setflight=\"+r+\"&q=\":$vxp(n).getConfig(\"BingLinkBase\")+t+\"q=\",i&&(u+=encodeURIComponent(i)),f=$vxp(n).getConfig(\"BingFormCode\"),f&&(u+=\"&form=\"+encodeURIComponent(f)),u}function et(n){var v,h,c,e,r,l,f,u,b;n=n.toLowerCase();var i=n.split(\" \"),t=0,w={su:0,m:1,tu:2,w:3,th:4,f:5,sa:6},p={0:\"\",1:\"\",2:\"\",3:\"\",4:\"\",5:\"\",6:\"\"},y=!1;while(!y){var a=[],k=i[t].substr(i[t].length-1),s=0,o=6;if(\":\"==k)for(v=i[t].substr(0,i[t].length-1).split(\",\"),u=0;u<v.length;++u){h=v[u].split(\"-\"),s=w[h[0]],o=w[h[h.length-1]];if(undefined==s||undefined==o)return n;for(o<s&&(o+=7),c=s;c<=o;++c)a.push(c%7)}else y=!0;e=\"\",++t;while(t<i.length){r=i[t],l=r.substr(0,1);if(\"(\"!=l&&(\"9\"<l||\"0\">l))break;0>r.indexOf(\":\")?(f=r.indexOf(\"am\"),0>f&&(f=r.indexOf(\"pm\")),0>f&&(f=r.indexOf(\")\")),e+=0>f?i[t]+\":00 \":r.substr(0,f)+\":00\"+r.substr(f)+\" \"):e+=i[t]+\" \",++t}for(u=0;u<a.length;++u)p[a[u]]=e;t>=i.length&&(y=!0)}return b=new Date,p[b.getDay()]}function tt(t,i){var r,u,f=!1;return t?(r=i.getUTCHours(),11<r&&(r-=12,f=!0),0==r&&(r=12),r=r.toString(),u=\"00\"+i.getUTCMinutes().toString()):(r=i.getHours(),11<r&&(r-=12,f=!0),0==r&&(r=12),r=\"00\"+r.toString(),u=\"00\"+i.getMinutes().toString()),$vxp(n).getConfig((f?\"Pm\":\"Am\")+\"TimeStringFormat\").replace(\"{0}\",r).replace(\"{1}\",u.substr(u.length-2,2))}function p(t,i){var r,u,f;return t?(r=\"00\"+i.getUTCDate().toString(),u=\"00\"+(i.getUTCMonth()+1).toString(),f=\"00\"+i.getUTCFullYear().toString()):(r=\"00\"+i.getDate().toString(),u=\"00\"+(i.getMonth()+1).toString(),f=\"00\"+i.getFullYear().toString()),$vxp(n).getConfig(\"DateStringFormat\").replace(\"{0}\",r.substr(r.length-2,2)).replace(\"{1}\",u.substr(u.length-2,2)).replace(\"{2}\",f.substr(f.length-2,2))}function y(n,t){var i=parseInt(n.substr(0,4),10),r=parseInt(n.substr(5,2),10)-1,u=parseInt(n.substr(8,2),10),f=parseInt(n.substr(11,2),10),e=parseInt(n.substr(14,2),10),o=parseInt(n.substr(17,2),10);return new Date(Date.UTC(i,r,u,f,e,o,0)+t)}function it(t,i){var u=y(t,0),f=i-u,r=parseInt((f+3e4)/6e4);return 1>=r?t=$vxp(n).getConfig(\"MinAgoStringFormat\").replace(\"{0}\",\"1\"):60>r?t=$vxp(n).getConfig(\"MinsAgoStringFormat\").replace(\"{0}\",r):(r=parseInt((f+18e5)/36e5),t=1>=r?$vxp(n).getConfig(\"HourAgoStringFormat\").replace(\"{0}\",\"1\"):24>r?$vxp(n).getConfig(\"HoursAgoStringFormat\").replace(\"{0}\",r):p(!1,u)),t}function rt(n){return function(){return ft?BingPopup.comingSoon():BingPopup.play(n.songId,n.songTitle,n.artistName,n.albumName,n.albumId,n.artistId,n.lyricsUrl,n.isDto,n.isPreviewOnly,n.isExplicit),!1}}function t(n){$vxp(n).hasClass(\"vxp_current\")&&$vxp.updateScrolling($vxp(n).find(\".vxp_scrollable\"))}function d(){$vxp(n).find(\".vxp_feature\").each(function(){var f=this,c=$vxp(f).attr(\"data-objectType\"),s=$vxp(f).attr(\"data-queryData\"),o={},h;if(s&&c)switch(c.toLowerCase()){case\"tvshow\":$vxp(f).find(\".vxp_tvShow .vxp_castCrew\").length&&($vxp(f).find(\".vxp_tvShow .vxp_links .vxp_link.vxp_tl2\").length?($vxp(f).find(\".vxp_tvShow .vxp_links\").css(\"height\",\"auto\"),$vxp(f).find(\".vxp_tvShow .vxp_castCrew\").show(),t(f)):(o.Sources=\"Entertainment\",o[\"Entertainment.ListAnswer.Scenario\"]=\"TVShowsCastCrew\",o.Query=s,o.Version=\"2.4\",o.AppId=$vxp(n).getConfig(\"BingApiAppId\"),o.Market=$vxp(n).getConfig(\"BingMarket\"),$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",o,function(n){var c=null,u,i,s,o,h;if(n.SearchResponse&&n.SearchResponse.Entertainment&&n.SearchResponse.Entertainment.Results&&n.SearchResponse.Entertainment.Results.length)for(u=n.SearchResponse.Entertainment.Results,i=0;i<u.length;++i)if(u[i].EntertainmentSpecificData&&u[i].EntertainmentSpecificData.ListResponse&&u[i].EntertainmentSpecificData.ListResponse.Items&&u[i].EntertainmentSpecificData.ListResponse.Items.length){c=u[i];break}if(c){var r=$vxp(f).find(\".vxp_tvShow .vxp_links\"),l=c.EntertainmentSpecificData.ListResponse.Items,a=l.length;for($vxp(f).find(\".vxp_tvShow .vxp_castCrew\").show(),s=$vxp(r).height(),s||($vxp(f).css(\"visibility\",\"hidden\"),$vxp(f).css(\"display\",\"inline-block\"),s=$vxp(r).height()),$vxp(r).css(\"height\",\"auto\"),o=0;o<a;++o){o&&$vxp(r).append($vxp(\"<span>, </span>\")),h=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),$vxp(h).attr(\"href\",e(\"search?\",l[o].ReQuery)),$vxp(h).text(l[o].Value),$vxp(r).append(h);if(o&&$vxp(r).height()>s){$vxp(r).find(\":last-child\").remove(),$vxp(r).find(\":last-child\").remove();break}}$vxp(f).css(\"display\")==\"inline-block\"&&($vxp(f).css(\"display\",\"none\"),$vxp(f).css(\"visibility\",\"visible\"))}t(f)})));break;case\"musicartist\":o.AppId=$vxp(n).getConfig(\"BingApiAppId\"),o.Market=$vxp(n).getConfig(\"BingMarket\"),$vxp(f).find(\".vxp_musicArtist .vxp_songs\").length&&(o.Sources=\"Entertainment\",o.Query=\"Songs by \"+s,o.Version=\"2.4\",$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",o,function(i){var d=null,h,u,p,c,ft,o,et,g,ot;if(i.SearchResponse&&i.SearchResponse.Entertainment&&i.SearchResponse.Entertainment.Results&&i.SearchResponse.Entertainment.Results.length)for(h=i.SearchResponse.Entertainment.Results,u=0;u<h.length;++u)if(h[u].EntertainmentSpecificData&&h[u].EntertainmentSpecificData.MusicSongsResponse&&h[u].EntertainmentSpecificData.MusicSongsResponse.Groups&&h[u].EntertainmentSpecificData.MusicSongsResponse.Groups.length&&h[u].EntertainmentSpecificData.MusicSongsResponse.Groups[0].Songs&&h[u].EntertainmentSpecificData.MusicSongsResponse.Groups[0].Songs.length){d=h[u];break}if(d){var ht=$vxp(f).find(\".vxp_musicArtist .vxp_songs .vxp_links\"),nt=d.EntertainmentSpecificData.MusicSongsResponse.Groups[0].Songs,st=nt.length,b=0;for(p=0;p<st&&4>b;++p){var r=nt[p],a=r.Name,v=null;r.MediaId&&(v=r.MediaId.ZuneMediaId);if(a&&v){var l=$vxp('<div class=\"vxp_song\"></div>'),tt=\"\",it=\"\",k=\"\",ut=\"\",y=\"\";r.Albums&&r.Albums.length&&r.Albums[0].MediaId&&r.Albums[0].MediaId.ZuneMediaId&&(k=r.Albums[0].MediaId.ZuneMediaId,ut=r.Albums[0].Name),r.Artists&&r.Artists.length&&r.Artists[0].ContributorId&&(tt=r.Artists[0].ContributorId,it=r.Artists[0].Name),r.MediaId.LyricsAMGId&&(y=e(\"music/lyrics/detail?lyricsID=\"+encodeURIComponent(r.MediaId.LyricsAMGId)+\"&albumID=\"+encodeURIComponent(k)+\"&songID=\"+encodeURIComponent(v)+\"&\",s+\" \"+a,w)),c=null,r.IsStreamable&&(c=$vxp('<a class=\"vxp_playButton vxp_enabled\"></a>'),$vxp(c).attr(\"href\",\"#\"),$vxp(c).attr(\"title\",$vxp(n).getConfig(\"PlaySongStringFormat\").replace(\"{0}\",a)),ft={songId:v,songTitle:a,artistName:it,albumName:ut,albumId:k,artistId:tt,lyricsUrl:y,isDto:r.IsDTO,isPreviewOnly:r.IsPreviewOnly,isExplicit:r.IsExplicit},$vxp(c).click(rt(ft))),c&&($vxp(l).append(c),o=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),et=e(\"music/songs/search?songID=\"+encodeURIComponent(v)+\"&\",s+\" \"+a,w),$vxp(o).attr(\"href\",et),$vxp(o).text(a),$vxp(l).append(o),y&&($vxp(o).addClass(\"vxp_hasLyrics\"),g=$vxp('<span class=\"vxp_dot vxp_tb1\">·</span>'),$vxp(l).append(g),o=$vxp('<a class=\"vxp_lyrics vxp_anchorData vxp_tl2\"></a>'),$vxp(o).attr(\"href\",y),$vxp(o).text($vxp(n).getConfig(\"LyricsString\")),$vxp(l).append(o)),ot=$vxp('<div class=\"vxp_clearBoth\"></div>'),$vxp(l).append(ot),$vxp(ht).append(l),b++)}}b&&$vxp(f).find(\".vxp_musicArtist .vxp_songs\").show()}t(f)})),h=function(){if($vxp(f).find(\".vxp_musicArtist .vxp_news\").length){var i={};i.Sources=\"News\",i[\"News.Category\"]=\"rt_Entertainment\",i.Query=s+\" News\",i.Version=\"2.3\",i.AppId=$vxp(n).getConfig(\"BingApiAppId\"),i.Market=$vxp(n).getConfig(\"BingMarket\"),$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",i,function(n){var e,i,o,s,u,r;if(n.SearchResponse&&n.SearchResponse.News&&n.SearchResponse.News.Results&&n.SearchResponse.News.Results.length){var l=new Date,a=$vxp(f).find(\".vxp_musicArtist .vxp_news .vxp_links\"),c=n.SearchResponse.News.Results,h=c.length;for(h>2&&(h=2),e=0;e<h;++e)i=c[e],i.Title&&i.Url&&(o=$vxp('<div class=\"vxp_article\"></div>'),s=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),$vxp(s).attr(\"href\",i.Url),$vxp(s).text(i.Title),$vxp(o).append(s),(i.Snippet||i.Source||i.Date)&&(u=$vxp('<div class=\"vxp_extra\"></div>'),i.Snippet&&(r=$vxp('<span class=\"vxp_snippet vxp_tb1\"></span>'),$vxp(r).text(i.Snippet),$vxp(u).append(r)),i.Source&&(r=$vxp('<span class=\"vxp_source vxp_tb3\"></span>'),$vxp(r).text(i.Source),$vxp(u).append(r)),i.Date&&(r=$vxp('<span class=\"vxp_date vxp_tb4\"></span>'),$vxp(r).text(it(i.Date,l)),$vxp(u).append(r)),$vxp(o).append(u)),$vxp(a).append(o));$vxp(f).find(\".vxp_musicArtist .vxp_news\").show()}t(f)})}},$vxp(f).find(\".vxp_musicArtist .vxp_events\").length?(o.Sources=\"Events\",o.Query=s+\" Events\",o.Version=\"2.3\",$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",o,function(n){var l,i,u,r,d,c,o,g;if(n.SearchResponse&&n.SearchResponse.Events&&n.SearchResponse.Events.Results&&n.SearchResponse.Events.Results.length){var it=new Date,nt=$vxp(f).find(\".vxp_musicArtist .vxp_events .vxp_links\"),b=n.SearchResponse.Events.Results,a=b.length;for(a>3&&(a=3),l=0;l<a;++l){i=b[l];if(i.Name&&i.Id){var v=$vxp('<div class=\"vxp_event\"></div>'),w=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),k=e(\"events/search?p1=\"+encodeURIComponent('[Events source=\"vertical\" qzeventid=\"'+i.Id+'\"]')+\"&\",s);$vxp(w).attr(\"href\",k),$vxp(w).text(i.Name),$vxp(v).append(w),(i.StartTime||i.Location&&i.Location.Name)&&(u=null,r=$vxp('<span class=\"vxp_extra\"></span>'),i.StartTime&&(u=y(i.StartTime,parseInt(i.StartTimeOffset)*6e4),o=$vxp('<span class=\"vxp_date vxp_tb1\"></span>'),$vxp(o).text(p(!0,u)),$vxp(r).append(o)),i.Location&&i.Location.Name&&(i.StartTime&&(d=$vxp('<span class=\"vxp_dot vxp_tb1\">·</span>'),$vxp(r).append(d)),c=$vxp('<a class=\"vxp_venue vxp_anchorData vxp_tl2\"></a>'),u&&$vxp(c).addClass(\"vxp_hasTime\"),$vxp(c).attr(\"href\",k+\"#venue\"),$vxp(c).text(i.Location.Name),$vxp(r).append(c)),u&&(o=$vxp('<span class=\"vxp_time vxp_tb1\"></span>'),$vxp(o).text(tt(!0,u)),$vxp(r).append(o)),g=$vxp('<div class=\"vxp_clearBoth\"></div>'),$vxp(r).append(g),$vxp(v).append(r)),$vxp(nt).append(v)}}$vxp(f).find(\".vxp_musicArtist .vxp_events\").show(),t(f)}else h()})):h();break;case\"movie\":$vxp(f).find(\".vxp_movie .vxp_showTimes\").length&&(o={},o.Sources=\"Showtimes\",o.Query=s,o.Version=\"2.3\",o.AppId=$vxp(n).getConfig(\"BingApiAppId\"),o.Market=$vxp(n).getConfig(\"BingMarket\"),$vxp(f).find(\".vxp_movie .vxp_location .vxp_dot\").hide(),i&&r&&(o.Latitude=i,o.Longitude=r,u&&($vxp(f).find(\".vxp_movie .vxp_location .vxp_name\").text(u),$vxp(f).find(\".vxp_movie .vxp_location .vxp_dot\").show())),$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",o,function(i){var u,l,r;if(i.SearchResponse&&i.SearchResponse.Showtimes&&i.SearchResponse.Showtimes.Results&&i.SearchResponse.Showtimes.Results.length){var a=$vxp(f).find(\".vxp_movie .vxp_links\"),v=i.SearchResponse.Showtimes.Results,s=v.length;for(s>3&&(s=3),u=0;u<s;++u){var o=v[u],h=$vxp('<div class=\"vxp_theater\"></div>'),c=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>');$vxp(c).attr(\"href\",e(\"movies/search?\",o.ShowtimeResultTitle)),r=o.ShowtimeResultTitle;if(30<r.length){r=r.substr(0,28);while(r.length&&\" \"==r.substr(r.length-1,1))r=r.substr(0,r.length-1);r+=\"...\"}$vxp(c).text(r),$vxp(h).append(c),l=$vxp('<span class=\"vxp_times vxp_tb1\"></span>'),r=null,o.Times&&(r=et(o.Times)),r||(r=$vxp(n).getConfig(\"NoShowtimesString\")),$vxp(l).text(\": \"+r),$vxp(h).append(l),$vxp(a).append(h)}$vxp(a).show()}else $vxp(f).find(\".vxp_movie .vxp_noLinks\").show();$vxp(f).find(\".vxp_movie .vxp_showTimes\").show(),t(f)}));break;case\"gameoffline\":($vxp(f).find(\".vxp_gameOffline .vxp_walkthroughs\").length||$vxp(f).find(\".vxp_gameOffline .vxp_cheats\").length)&&(o.Sources=\"Entertainment\",o.Query=s,o.Version=\"2.4\",o.AppId=$vxp(n).getConfig(\"BingApiAppId\"),o.Market=$vxp(n).getConfig(\"BingMarket\"),$vxp.getJSON($vxp(n).getConfig(\"BingApiBase\")+\"json.aspx?JsonType=Callback&JsonCallback=?\",o,function(n){var e,h,n,c,l,r,i,u;if(n.SearchResponse&&n.SearchResponse.Entertainment&&n.SearchResponse.Entertainment.Results&&n.SearchResponse.Entertainment.Results.length){var o=[],s=[],a=n.SearchResponse.Entertainment.Results;for(i=0;i<a.length;++i)e=a[i],e&&e.EntertainmentSpecificData&&(h=null,n=null,\"GamesWalkthrough\"==e.ContentType?(h=o,n=e.EntertainmentSpecificData.GamesWalkthrough):\"GamesCheat\"==e.ContentType&&(h=s,n=e.EntertainmentSpecificData.GamesCheat),n&&n.ClickthroughUrl&&n.Title&&(c={},c.url=n.ClickthroughUrl,c.title=n.Title,h.push(c)));if($vxp(f).find(\".vxp_gameOffline .vxp_walkthroughs\").length&&0<o.length){for(l=$vxp(f).find(\".vxp_gameOffline .vxp_walkthroughs .vxp_links\"),r=o.length,r>2&&(r=2),i=0;i<r;++i)u=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),$vxp(u).attr(\"href\",o[i].url),$vxp(u).text(o[i].title),$vxp(l).append(u);$vxp(f).find(\".vxp_gameOffline .vxp_walkthroughs\").show()}if($vxp(f).find(\".vxp_gameOffline .vxp_cheats\").length&&0<s.length){for(l=$vxp(f).find(\".vxp_gameOffline .vxp_cheats .vxp_links\"),r=s.length,r>2&&(r=2),i=0;i<r;++i)u=$vxp('<a class=\"vxp_link vxp_anchorData vxp_tl2\"></a>'),$vxp(u).attr(\"href\",s[i].url),$vxp(u).text(s[i].title),$vxp(l).append(u);$vxp(f).find(\".vxp_gameOffline .vxp_cheats\").show()}}t(f)}))}})}var f,o=null,s=parseInt($vxp(n).getConfig(\"AutoAdvanceTime\")),w=$vxp(n).getConfig(\"SongFlight\"),ft=ut(),a,b;s=s<1?5:s,$vxp(n).registerDispose(function(){c(!0)});if(!$vxp(n).find(\".vxp_features\").length)return;var ot=!1,i=0,r=0,u=\"\";$vxp(n).find(\".vxp_feature .vxp_anchor\").click(function(){var n=$vxp(this).attr(\"href\"),t=$vxp(this).attr(\"target\");return n&&(t==\"_blank\"?window.open(n,\"_blank\"):window.location=n),!1}),a=!0,$vxp(n).find(\".vxp_feature .vxp_detailPane\").each(function(){var n=$vxp(this).find(\".vxp_description\"),r=$vxp(n).find(\".vxp_descText\"),t=$vxp(n).find(\".vxp_expand\"),i=$vxp(n).find(\".vxp_contract\"),u=$vxp(this).find(\".vxp_scrollable\");a&&(v(n),a=!1),t.click(function(){$vxp(t).hide(),$vxp(i).show(),$vxp(r).text($vxp(n).data(\"origText\")),$vxp.updateScrolling(u)}),i.click(function(){$vxp(t).show(),$vxp(i).hide(),$vxp(r).text($vxp(n).data(\"trimText\")),$vxp.updateScrolling(u)})}),$vxp(n).find(\".vxp_page\").attr(\"href\",\"#\").click(function(){return l(this),!1}),$vxp(n).find(\".vxp_prevButton\").attr(\"href\",\"#\").click(nt),$vxp(n).find(\".vxp_nextButton\").attr(\"href\",\"#\").click(k),h(),$vxp(n).hover(c,h),g()?setTimeout(d,250):(b=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/user/settings?callback=?\",$vxp.getJSON(b,{responseEncoding:\"json\"},function(n){n&&n.user&&n.user.settings&&n.user.settings.location&&n.user.settings.location.$&&n.user.settings.location.$latitude&&n.user.settings.location.$longitude&&(i=parseFloat(n.user.settings.location.$latitude),r=parseFloat(n.user.settings.location.$longitude),u=n.user.settings.location.$,$vxp.cookie(\"videouserloc\",u+\"|\"+i+\"|\"+r,30)),d()}))});$vxp.registerWidget(\"filmstrip\",function(n){function k(t){var r,u,i,f;if(b){i=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"href\"),r=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-id\")||$vxp(t).find(\".vxp_motionThumb\").attr(\"data-instKey\");try{PlayVideo(r,i)}catch(e){}}else w?(u=$vxp.updateTracking(\"Show\",\"main\",nt),$vxp.fireEvent(\"playVideo\",{id:$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-id\"),playerType:$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-playerType\"),playerSource:u,mode:\"click\"})):(i=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"href\"),f=$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"target\"),i&&($vxp.writeTrackingCookie(\"Show\",\"main\",nt),f==\"_blank\"?window.open(i,\"_blank\"):window.location=i));return!1}function d(){var i=$vxp(n).find(\".vxp_gallery_item\"),u=i.index($vxp(n).find(\".vxp_gallery_item.selected\")),t=u+1,r;t>=i.length&&(t=0),r=$vxp(i[t]),v(t,o),r.mouseover()}function f(){try{u&&(clearInterval(u),u=null)}catch(n){}}function a(){f();if(!l){u=setInterval(function(){f(),i=!1,d(),i=!0},1);return}u=setInterval(function(){i=!1,d(),i=!0},h*1e3)}function g(t,i){var h,u,s;if(i&&l)h=r.eq(e%2),u=r.eq((e+1)%2),e++,u.attr(\"alt\",t.title.text()).attr(\"src\",t.selectedImage),u.css({opacity:0,zIndex:1,visibility:\"visible\"}),h.css(\"z-index\",0),u.animate({opacity:1},1e3),g(t,!1);else{$vxp(n).find(\".vxp_feature .vxp_hidePrompt\").removeClass(\"vxp_hidePrompt\");var f=$vxp(n).find(\".vxp_feature .vxp_title\"),o=$vxp(n).find(\".vxp_feature .vxp_description\"),c=$vxp(n).find(\".vxp_feature .vxp_text\");$vxp(o).css(\"overflow\",\"visible\").css(\"height\",\"auto\").css(\"max-height\",\"none\"),$vxp(this).setSizedText(f,f,t.wholeTitle,100),$vxp(f).css(\"overflow\",\"visible\"),$vxp(f).attr(\"title\",t.wholeTitle),$vxp(this).setSizedText(c,o,t.description,300),$vxp(o).attr(\"title\",t.description),s=t.playerLink,$vxp.frontDoorMode&&isBrowserSafari&&t.externalLink&&!$vxp.hasFlash(9)&&(s=t.externalLink),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"href\",s),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-id\",t.id),$vxp(n).find(\".vxp_feature .vxp_anchor\").attr(\"data-playerType\",t.playerType),r.eq(e%2).attr(\"alt\",t.title.text()).attr(\"src\",t.selectedImage),r.eq(e%2).attr(\"src\",t.selectedImage),$vxp(n).find(\".vxp_feature .vxp_anchor\").removeAttr(\"target\")}l=!0}function v(i,r){var e=$vxp(n).find(\".vxp_gallery_item\"),o,f,u;if(i>=0){for(f=Math.floor(e.length/t),f!=e.length/t&&f++,u=0;u<f;u++)i>=u*t&&i<(u+1)*t&&(s=u*t,o=u*y);$vxp(n).find(\"div.vxp_GalleryColumnButLast\").animate({scrollLeft:o},r),s==0?($vxp(n).find(\"div.vxp_left_arrow\").removeClass(\"vxp_arrowEnabled\").addClass(\"vxp_arrowDisabled\"),$vxp(n).find(\"#filmstripLeftArrowNormal\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripLeftArrowDisabled\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripLeftArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\")):($vxp(n).find(\"div.vxp_left_arrow\").removeClass(\"vxp_arrowDisabled\").addClass(\"vxp_arrowEnabled\"),$vxp(n).find(\"#filmstripLeftArrowNormal\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripLeftArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripLeftArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"))}}var u,i,l,e=0,h=parseInt($vxp(n).getConfig(\"AutoAdvanceTime\")),r=$vxp(n).find(\".vxp_feature .vxp_image\"),nt=$vxp(n).getConfig(\"Tracking\"),t=parseInt($vxp(n).getConfig(\"VideosPerPage\")),o=parseInt($vxp(n).getConfig(\"AnimationSpeed\")),y=500,s=0,w,b,c,p,tt;h=h<1?5:h,t=t<1?4:t,y=125*t,o=o<1?2e3:o,w=$vxp(n).getConfig(\"PlaybackMode\")==\"SamePage\"||$vxp(n).getConfig(\"PlaybackMode\")==\"Auto\"&&$vxp.vxpFind(\"div.player\").length>0,b=$vxp(n).getConfig(\"CallExternalMethodForPlayback\")==!0,$vxp(n).registerDispose(function(){try{f(),$vxp(n).find(\"div.vxp_GalleryColumnButLast\").each(function(){$vxp(this).stop(!0,!1)}),r.each(function(){$vxp(this).stop(!0,!1)})}catch(t){}}),$vxp(n).find(\".vxp_gallery_item .vxp_title.vxp_tl1\").each(function(){$vxp(this).removeClass(\"vxp_tl1\").addClass(\"vxp_tb2\")}),$vxp(n).find(\".vxp_feature .vxp_image, .vxp_feature .vxp_anchor, .vxp_galleryThumb .vxp_motionThumb\").each(function(){var n=$vxp(this);n.unbind(\"click\").click(function(){return k(n)})}),$vxp(n).find(\"div.vxp_right_arrow\").click(function(){c(!0)}),$vxp(n).find(\"div.vxp_left_arrow\").click(function(){c(!1)}),$vxp(n).find(\".vxp_gallery_item\").length<=t?($vxp(n).find(\"div.vxp_right_arrow\").removeClass(\"vxp_arrowEnabled\").addClass(\"vxp_arrowDisabled\"),$vxp(n).find(\"#filmstripRightArrowNormal\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripRightArrowDisabled\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripRightArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\")):($vxp(n).find(\"div.vxp_right_arrow\").removeClass(\"vxp_arrowDisabled\").addClass(\"vxp_arrowEnabled\"),$vxp(n).find(\"#filmstripRightArrowNormal\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripRightArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripRightArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\")),$vxp(n).find(\"div.vxp_left_arrow\").hover(function(){$vxp(this).hasClass(\"vxp_arrowDisabled\")||($vxp(n).find(\"#filmstripLeftArrowNormal\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripLeftArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripLeftArrowHover\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"))},function(){$vxp(this).hasClass(\"vxp_arrowDisabled\")||($vxp(n).find(\"#filmstripLeftArrowNormal\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripLeftArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripLeftArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"))}),$vxp(n).find(\"div.vxp_right_arrow\").hover(function(){$vxp(this).hasClass(\"vxp_arrowDisabled\")||($vxp(n).find(\"#filmstripRightArrowNormal\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripRightArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripRightArrowHover\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"))},function(){$vxp(this).hasClass(\"vxp_arrowDisabled\")||($vxp(n).find(\"#filmstripRightArrowNormal\").removeClass(\"vxp_arrowHidden\").addClass(\"vxp_arrowVisible\"),$vxp(n).find(\"#filmstripRightArrowDisabled\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"),$vxp(n).find(\"#filmstripRightArrowHover\").removeClass(\"vxp_arrowVisible\").addClass(\"vxp_arrowHidden\"))}),c=function(r){var f=$vxp(n).find(\".vxp_gallery_item\"),u;r?u=s+t:(u=s-t,u<0&&(u=0)),u>=f.length&&(u=0),nextSelectedVideoNode=$vxp(f[u]),v(u,o),i=!1,nextSelectedVideoNode.mouseover()},$vxp(n).subscribeEvent(\"galleryItemHover\",function(n){g(n,!i),i?f():a(),i=!0}),$vxp(n).mouseout(function(){f(),a()}),p=n,$vxp(n).find(\".vxp_gallery_item\").each(function(){var t=$vxp(this);t.focusin(function(){var n=$vxp(p).find(\".vxp_gallery_item\"),i=n.index(t);v(i,0),t.mouseover()}),t.unbind(\"keydown\").keydown(function(t){if(t.keyCode==13||t.keyCode==32)return k($vxp(n).find(\".vxp_feature .vxp_image\")[0])})}),a(),tt=r.eq(0).attr(\"src\"),$vxp(n).find(\".vxp_gallery_item\").each(function(){var n=$vxp(this).attr(\"data-selectedImgSrc\");n!=tt&&$vxp('<img src=\"'+n+'\" />')})});$vxp.registerWidget(\"photoViewer\",function(n){function tr(n,t,i){var r=n,u=r.indexOf(t);while(u!=-1)r=r.replace(t,i),u=r.indexOf(t);return r}function lr(){d&&(clearInterval(d),d=null),k&&(clearInterval(k),k=null)}function gt(t){var i=$vxp(n).find(\".vxpPhotoViewerLeftArrowContainer\");t?i.addClass(\"active\"):i.removeClass(\"active\")}function ni(t){var i=$vxp(n).find(\".vxpPhotoViewerRightArrowContainer\");t?i.addClass(\"active\"):i.removeClass(\"active\")}function ti(n){n?(pt.hide(),ct.show()):(pt.show(),ct.hide())}function ii(n){n?(r&&s&&(r.css(\"visibility\",\"visible\"),s.css(\"visibility\",\"visible\")),b&&b.css(\"visibility\",\"visible\")):(r&&s&&(r.css(\"visibility\",\"hidden\"),s.css(\"visibility\",\"hidden\")),b&&b.css(\"visibility\",\"hidden\"))}function v(){var n=t>=vi?0:t+1;u(n,\"slide\")}function u(r,u){var c,s,v,y,w;if(r!=t){c=$vxp(n).find(\".vxpPhotoViewerLayer\").eq(t),s=$vxp(n).find(\".vxpPhotoViewerLayer\").eq(r);if(s.length){pi(r),p&&h<=0&&(g.hide(),p=!1,$vxp.fireEvent(\"photoViewerAdCompleted\",null,i),u=null),ri>0&&at>ri&&(h=cr,at=1,r<t&&(t=r-1,c.css(\"visibility\",\"hidden\")),dt(),g.show(),g.find(\".vxp_adWidget\").widgetRefresh(),p=!0,$vxp.fireEvent(\"photoViewerAdStarted\",null,i),o(e,\"\",l,\"AdStart\",a,\"qs\",\"gallery\"));if(p)return;v={duration:er,queue:!1,easing:\"easeInOutCubic\",complete:function(){c.css(\"visibility\",\"hidden\"),s.css(\"opacity\",null),s.css(\"z-index\",0)}},(!tt||ft)&&(window.location.hash=r+1),ui(r);switch(u){case\"dissolve\":s.css({left:0,opacity:0,visibility:\"visible\"}),c.css(\"z-index\",0),s.css(\"z-index\",1),s.animate({opacity:1},v);break;case\"slide\":y=r>t?1:-1,w=$vxp(n).width(),s.css({left:w*y,opacity:null,visibility:\"visible\"}).animate({left:0},v),v.complete=null,c.animate({left:w*y*-1},v);break;default:s.css({left:0,opacity:null,visibility:\"visible\"}),c.css(\"visibility\",\"hidden\")}tt&&(s.find(\".vxpPhotoViewerTitle\").html()!=null&&s.find(\".vxpPhotoViewerTitle\").html()!=\"\"&&($vxp(\"h3.vxpSlideshowTitle\").html(s.find(\".vxpPhotoViewerTitle\").html()),document.title=s.find(\".vxpPhotoViewerTitle\").html()),document.title=document.title.split(\"#\")[0]),t=r,ut=0}else!or&&f&&(o(e,\"\",l,\"PlayEnd\",a,\"qs\",\"gallery\"),lt<ei.list.item.length&&($vxp.fireEvent(\"playSlideshow\",{id:ei.list.item[lt].$,metadata:{source:\"auto\"}},i),lt++))}}function pi(t){var r=$vxp(n).find(\".vxpPhotoViewerLayer\").eq(t),f,e,o,s,u,h;if(!r.data(\"init\")){$vxp.browser.msie&&$vxp.browser.version<8&&(f=r.find(\".vxpPhotoViewerImage\"),e=f.height(),e<li&&(o=(li-e)/2,o<125&&f.css(\"top\",o+\"px\"))),t==0&&r.css(\"visibility\",\"visible\"),s=r.find(\".vxpPhotoViewerLegacyDesc\"),u=r.find(\".vxpPhotoViewerDesc\"),s.length&&(u.text(s.text()),$vxp(this).setSizedText(u,u,u.text(),220));var c=r.find(\".vxpPhotoViewerId\").text(),l=r.find(\".vxpPhotoViewerTitle\").text(),a=r.find(\".vxpPhotoViewerDesc\").text(),v=r.find(\".vxpPhotoViewerCopyright\").text();t==y&&(h={id:c,dataIndex:t,title:l,description:a,copyright:v},$vxp.fireEvent(\"photoChanged\",{metadata:h},i)),r.data(\"init\",1),at++}}function ui(t){var r=$vxp(n).find(\".vxpPhotoViewerLayer\").eq(t),s=r.is(\".vxpPhotoViewerEndslate\"),h=r.find(\".vxpPhotoViewerId\").text(),c=r.find(\".vxpPhotoViewerTitle\").text(),v=r.find(\".vxpPhotoViewerDesc\").text(),y=r.find(\".vxpPhotoViewerCopyright\").text(),f={id:h,dataIndex:t,title:c,description:v,copyright:y},u;$vxp.fireEvent(\"photoChanged\",{metadata:f},i),$vxp.fireEvent(\"PaginationPageChangedEvent\",t+1,i+\"_pag\"),s||$vxp.fireEvent(\"updateActiveGalleryItem\",t,i+\"_gal\"),yt&&(u=$vxp(\"#\"+yt).parents(\".vxp_slideshowMetadata\"),u.length?$vxp(n).fireEvent(\"photoChanged\",{metadata:f},u):rt=f),oi&&ci&&(u=$vxp(\"#\"+oi).parents(\".vxp_adWidget\"),$vxp(n).fireEvent(\"adChanged\",{metadata:f},u)),s?($vxp(n).find(\".vxpPhotoViewerPagination\").css(\"visibility\",\"hidden\"),$vxp(n).find(\".vxpPhotoViewerLeftArrowContainer\").css(\"visibility\",\"hidden\"),$vxp(n).find(\".vxpPhotoViewerRightArrowContainer\").css(\"visibility\",\"hidden\"),w(!1),o(e,\"\",l,\"PlayEnd\",a,\"qs\",\"gallery\")):($vxp(n).find(\".vxpPhotoViewerPagination\").css(\"visibility\",\"visible\"),$vxp(n).find(\".vxpPhotoViewerLeftArrowContainer\").css(\"visibility\",\"visible\"),$vxp(n).find(\".vxpPhotoViewerRightArrowContainer\").css(\"visibility\",\"visible\"))}function dt(){var n=g.find(\".vxpSlideshowAdTimer\");n.text(h+\" \")}function w(n){f=n,ut=0,f&&v(),$vxp.fireEvent(\"autoplayChanged\",f,i)}function hi(){$vxp(n).find(\".vxpPhotoViewerImage\").each(function(n,t){$(t).attr(\"src\",$(t).attr(\"data-src\"))}),$vxp.fireEvent(\"autoplayChanged\",f,i),ui(t),ci=!0}function ht(t){if(s){var i=\"\",r=c.length>500;i=r?t?c+\" <a class='vxpPhotoViewerImageDescriptionLess' href='#'>\"+ur+\"</a>\":c.substr(0,500)+\" <a class='vxpPhotoViewerImageDescriptionMore' href='#'>\"+fr+\"</a>\":c,ir&&(i=\"<object>\"+i+\"</object>\"),s.html(i),$vxp(n).find(\"a.vxpPhotoViewerImageDescriptionMore\").click(function(){return ht(!0),!1}),$vxp(n).find(\"a.vxpPhotoViewerImageDescriptionLess\").click(function(){return ht(!1),!1})}}function di(n){var t,i;n&&n.metadata&&n.metadata.dataIndex==0?gt(!1):gt(!0),n&&n.metadata&&n.metadata.dataIndex+1<vi?ni(!0):ni(!1),r&&s&&nt&&(n&&n.metadata?(t=n.metadata,t.title?(r.text(t.title),r.attr(\"title\",t.title)):(r.text(\"\"),r.attr(\"title\",\"\")),c=t.description?t.description:\"\",t.copyright?nt.text(t.copyright):nt.text(\"\")):(r.text(\"\"),r.attr(\"title\",\"\"),c=\"\",nt.text(\"\")),ht(!1)),vt&&si!=n.metadata.dataIndex&&(si=n.metadata.dataIndex,et&&(document.referrerRefreshTrcking=document.location.href,et=!1),document.referrerRefreshTrcking=tr(document.referrerRefreshTrcking,\"#\",\"%23\"),bt||(i={OmniturePV:!0,r:document.referrerRefreshTrcking},$vxp.reportOmnitureOnPhotoChange(i),$vxp.reportComScoreOnPhotoChange(),$vxp.pingPageViewCandidateXML()),o(e,n.metadata.id,n.metadata.dataIndex,\"TimeSpent\",a,\"qs\",\"gallery\"),o(e,n.metadata.id,n.metadata.dataIndex,\"PlayStart\",a,f?\"auto\":\"pb\",\"photo\")),bt=!1}function o(n,t,i,r,u,f,e){var b=e==\"gallery\"?n:t,w=e==\"gallery\"?t:n,d=$vxp.getPageWidget().getConfig(\"GalleryCatalogUrl\"),p=d+\"/frauddetect.aspx?callbackName=?\",k=i,v=document.body.offsetWidth+\"x\"+$vxp(window).height(),y=$vxp.getPageWidget().getConfig(\"Market\"),h=nr,o=\"1\",s=$vxp.qsp(\"from\"),c,a,l;s==null&&(s=\"\"),h==null&&(h=\"\"),c=$vxp.getPageWidget().getConfig(\"FlightId\"),a=$vxp.getFlightId(c),r==\"PlayStart\"?o=\"1\":r==\"TimeSpent\"?o=\"8\":r==\"PlayEnd\"?o=\"11\":r==\"AdStart\"&&(o=\"50\"),l={u:b,t:o,plt:hr,fr:sr,from:s,d:k,flight:a,src:h,c7:window.location.href,c8:e,pl:document.location.href,rl:document.referrer,pbStatus:r,pt:f,av:\"sv1\",brs:v,mkt:y,pg:u,gg:w},$vxp.getJSON(p,l,function(){})}function wi(){p?(h--,h<=0?v():dt()):f&&ai&&ut++>=ai&&v();if(rt){var t=$vxp(\"#\"+yt).find(\".slideshowMetadata\");t.length&&($vxp(n).fireEvent(\"photoChanged\",{metadata:rt},t),rt=null)}}function gi(){return ki.sitetypename==\"image\"}function bi(){var n=window.location.pathname,t=n.lastIndexOf(\"/\"),i=!1;return t>0&&(n=n.substr(t),n.toLowerCase().indexOf(\"/search\")==0&&(i=!0)),i}function kt(){var t=NaN,i;return(!tt||ft)&&window.location.hash!=null&&window.location.hash.length>0&&(t=parseInt(window.location.hash.substr(1)),i=$vxp(n).find(\".vxpPhotoViewerLayer\").eq(t-1),i.length==0&&(t=NaN)),t}function it(){fi=window.location.hash;var n=kt();isNaN(n)||(y=n-1,u(y,null))}function ar(){window.location.hash!=fi&&it()}var ki=$vxp(n).getConfigs(),i=$vxp(n).getConfig(\"EventTarget\"),li=parseInt($vxp(n).getConfig(\"PhotoHeight\")),t=parseInt($vxp(n).getConfig(\"Index\")),f=$vxp(n).getConfig(\"AutoPlay\"),rr=$vxp(n).getConfig(\"AdvanceOnClick\"),ai=parseInt($vxp(n).getConfig(\"AutoPlayTime\")),vi=parseInt($vxp(n).getConfig(\"Count\")),yt=$vxp(n).getConfig(\"MetadataNodeId\"),oi=$vxp(n).getConfig(\"AdNodeId\"),ut=0,ri=$vxp(n).getConfig(\"AdFrequency\"),cr=$vxp(n).getConfig(\"AdDuration\"),vt=$vxp(n).getConfig(\"ReportImagePings\")==!0,hr=$vxp(n).getConfig(\"ReportingType\"),sr=$vxp(n).getConfig(\"ReportingFr\"),or=$vxp(n).getConfig(\"EndSlate\")!=\"None\",ei=$vxp(n).getConfig(\"SlideshowPlaylist\"),lt=0,at=0,h=0,p=!1,er=500,rt,ci=!1,g=$vxp(n).find(\".vxpSlideshowAd\"),b=$vxp(n).find(\".vxpPhotoViewerPagination .vxp_pagination\"),pt=$vxp(n).find(\".vxpPhotoViewerPlay\"),ct=$vxp(n).find(\".vxpPhotoViewerPause\"),wt=$vxp(n).find(\".vxpPhotoViewerShowThumbnails\"),st=$vxp(n).find(\".vxpPhotoViewerHideThumbnails\"),yi=$vxp(n).find(\".vxpPhotoViewerGallery\"),r=$vxp(n).find(\".vxpPhotoViewerImageTitle\"),s=$vxp(n).find(\".vxpPhotoViewerImageDescription\"),fr=$vxp(n).find(\".vxpPhotoViewerMore\")?$vxp(n).find(\".vxpPhotoViewerMore\").text():\"...more\",ur=$vxp(n).find(\".vxpPhotoViewerLess\")?$vxp(n).find(\".vxpPhotoViewerLess\").text():\"...less\",c=\"\",nt=$vxp(n).find(\".vxpPhotoViewerImageAttribution\"),e=\"\",a=\"\",l=\"\",si=-1,nr=$vxp.getPlaySource(),ir=/chrome/.test(window.navigator.userAgent.toLowerCase()),tt=bi(),ot=window.location.href.toLowerCase().split(\"/\"),ft=ot[3]==\"slideshow\"&&ot[4]==\"photo\"&&ot[6].indexOf(\"search\")!=-1,y=kt(),fi=null,bt=!0,d,k,et;$vxp(n).registerDispose(function(){lr()}),et=!0,document.referrerRefreshTrcking=document.referrer==null||document.referrer.length==0?document.location.href:document.referrer,$vxp.find(\"div.vxpSlideshow\").length>0&&(e=$vxp.find(\"div.vxpSlideshowGalleryId\").length>0?$vxp.find(\"div.vxpSlideshowGalleryId\")[0].innerText:\"\",a=$vxp.find(\"div.vxpSlideshowGalleryAdPageGroup\").length>0?$vxp.find(\"div.vxpSlideshowGalleryAdPageGroup\")[0].innerText:\"\",l=$vxp.find(\"div.vxpSlideshowGalleryDataCount\").length>0?$vxp.find(\"div.vxpSlideshowGalleryDataCount\")[0].innerText:\"\",gi()||$vxp(n).find(\"div.vxpPhotoViewerEndslateGallery\").click(function(){var r=$vxp(this),t=$vxp(r).attr(\"data-galleryId\");if(t)return $vxp.fireEvent(\"playSlideshow\",{id:t,metadata:{source:\"endslate\"}},i),!1})),$vxp(n).find(\".vxpPhotoViewerMetadata\").css(\"opacity\",.8),r||$vxp(n).find(\".vxp_pagedGallery\").css(\"background-color\",\"#fff\").css(\"opacity\",.6),$vxp(n).subscribeEvent(\"photoClicked\",function(n){u(n.metadata.dataIndex,n.metadata.transition)}),$vxp(n).subscribeEvent(\"setAutoplay\",function(n){w(n)}),$vxp(n).subscribeEvent(\"paginationEvent\",function(n){setTimeout(function(){h=0,u(n-1,\"slide\")},10)}),$vxp(n).find(\"span.vxpSlideShowAdSkip\").click(function(){h=0,v()}),$vxp(n).find(\".vxpPhotoViewerWatchAgain\").click(function(){w(!0),vt&&o(e,\"\",l,\"PlayStart\",\"\",\"qs\",\"gallery\"),u(0,\"slide\")}),$vxp(n).find(\".vxpPhotoViewerImage\").click(function(){var n={dataIndex:t};$vxp.fireEvent(\"viewerPhotoChanged\",{metadata:n},i),rr&&v()}),$vxp(n).find(\".vxpPhotoViewerRightArrowContainer\").click(function(){t>=0&&u(t+1,\"slide\")}),$vxp(n).find(\".vxpPhotoViewerLeftArrowContainer\").click(function(){t>0&&u(t-1,\"slide\")}),$vxp(n).swipe(function(){u(t-1,\"slide\")},function(){u(t+1,\"slide\")}),pt.click(function(){$vxp.fireEvent(\"setAutoplay\",!0,i)}),ct.click(function(){$vxp.fireEvent(\"setAutoplay\",!1,i)}),wt.click(function(){wt.hide(),st.show(),yi.css(\"visibility\",\"visible\")}),st.click(function(){st.hide(),wt.show(),yi.css(\"visibility\",\"hidden\")}),ti(f),$vxp(n).subscribeEvent(\"autoplayChanged\",function(n){ti(n)}),$vxp(n).subscribeEvent(\"photoViewerAdStarted\",function(){ii(!1)}),$vxp(n).subscribeEvent(\"photoViewerAdCompleted\",function(){ii(!0)}),$vxp(n).subscribeEvent(\"playSlideshow\",function(){w(!1)}),$vxp.pageIsReady?hi():$vxp(n).subscribeEvent(\"pageReady\",hi),$vxp(n).subscribeEvent(\"photoChanged\",function(n){di(n)}),isNaN(y)&&(y=t),pi(t),it(),vt&&o(e,\"\",l,\"PlayStart\",\"\",\"qs\",\"gallery\"),d=setInterval(wi,1e3),(!tt||ft)&&(window.onhashchange!==undefined?typeof window.addEventListener!=\"undefined\"?window.addEventListener(\"hashchange\",it,!1):typeof window.attachEvent!=\"undefined\"&&window.attachEvent(\"onhashchange\",it):k=setInterval(ar,200))});$vxp.registerWidget(\"slideshow\",function(n){$vxp.useExternalReporting(),$vxp(n).subscribeEvent(\"playSlideshow\",function(t){$vxp(n).setConfig(\"GalleryId\",t.id),$vxp(n).setConfig(\"AutoPlay\",!0),$vxp(n).widgetRefresh()})});$vxp.registerWidget(\"slideshowMetadata\",function(n){function f(){var h=$vxp(n).find(\".vxpSlideshowMetadataTitle\"),f=$vxp(n).find(\".vxpSlideshowMetadataDescription\"),o=u.length>400,c=o&&!t?u.substr(0,400)+\"...\":u;h.text(e),f.text(c),o?t?($vxp(i).hide(),$vxp(r).show()):($vxp(i).show(),$vxp(r).hide()):($vxp(i).hide(),$vxp(r).hide());var l=f.height(),s=s>100?50:l/2,a=$vxp(n).find(\".vxpSlideshowMetadataArrow\");a.css(\"visibility\",\"visible\").css(\"bottom\",\"0px\").css(\"bottom\",s+\"px\")}var o=$vxp(n).getConfig(\"EventTarget\"),i=$vxp(n).find(\".vxpSlideshowMetadataExpand\"),r=$vxp(n).find(\".vxpSlideshowMetadataContract\"),e,u,t;$vxp(n).subscribeEvent(\"photoChanged\",function(n){if(n&&n.metadata){var i=n.metadata;i.title&&i.description&&(e=i.title,u=i.description,t=!1,f())}}),i.click(function(){t=!0,f()}),r.click(function(){t=!1,f()})});$vxp.registerWidget(\"heroPlayerAdModule\",function(){});$vxp.registerWidget(\"heroPlayerBingMapsModule\",function(n){if(!$vxp(n).html()||$vxp(n).find(\"div.vxp_mmv_content_active\").length==0)return;var t=$vxp(n).getConfigs(),u=t.bingmapsscripturl,f=t.mapkey,e=t.mapzoom,o=t.mapview.toLowerCase(),r=t.mapquery,i,s=function(){i=new Microsoft.Maps.Map($vxp(n).find(\"div.vxp_mmv_bmm_map_container\").get(0),{credentials:f,mapTypeId:Microsoft.Maps.MapTypeId[o],backgroundColor:new Microsoft.Maps.Color(255,32,32,32)}),r&&i.getCredentials(function(n){var t=\"http://dev.virtualearth.net/REST/v1/Locations/\"+r+\"?output=json&jsonp=?&key=\"+n;$vxp.ajax({type:\"GET\",url:t,dataType:\"jsonp\",cache:!0,success:function(n){if(n.resourceSets.length>0&&n.resourceSets[0].resources.length>0){var t=n.resourceSets[0].resources[0].point.coordinates;i.setView({zoom:e,center:new Microsoft.Maps.Location(t[0],t[1])}),i.entities.clear(),i.entities.push(new Microsoft.Maps.Pushpin(new Microsoft.Maps.Location(t[0],t[1]),null))}}})})},h=setInterval(function(){document.readyState===\"complete\"&&(clearInterval(h),$vxp.ajax({type:\"GET\",url:u,dataType:\"script\",cache:!0,success:function(){setTimeout(function(){s()},0)}}))},50)});$vxp.registerWidget(\"heroPlayerBingSearchModule\",function(n){var t=$vxp(n).getConfigs();if(t.mmvflippermode)return;$vxp(n).find(\"div.vxp_bing_scope\").click(function(){var t=$vxp(this).attr(\"data-searchScope\");$vxp(n).setConfig(\"SearchScope\",t),$vxp(n).widgetRefresh()}),$vxp(n).find(\"div.vxp_bing_search_input input\").each(function(){$vxp(this).keypress(function(t){return 13==t.which?($vxp(n).find(\"div.vxp_bing_search_button\").click(),!1):!0})}),$vxp(n).find(\"div.vxp_bing_search_button\").click(function(){var t=$vxp(n).find(\"div.vxp_bing_search_input input\"),i=t.attr(\"value\");return $vxp(n).setConfig(\"SearchQuery\",i),$vxp(n).widgetRefresh(),!1})});$vxp.registerWidget(\"heroPlayer\",function(n){var l=$vxp(n).getConfigs(),dt=l.clicktoclosetext,gt=$vxp(n).attr(\"id\"),pt=gt+\"_carousel\",r=null,t=null,y=$vxp(n).find(\"div.vxp_heroPlayerContainer\"),d=$vxp(n).find(\"div.vxpCarousel\"),f=y.find(\"div.vxpModuleContainer\"),c=[],u=y.find(\"div.vxpSpinner\"),wt=$vxp(\"#player1000x650ad\"),rt=!1,b=!1,w=!1,o=l.usehtml5,g=l.mmvlite,nt=!$vxp.browser.msie||parseInt($vxp.browser.version)>=9,i=parseInt(y.attr(\"data-initialmoduleindex\")),tt=500,ei=l.activecontentinwings,oi=window.navigator.userAgent.match(/iPad/i),yt,ui=l.msnvideoreporting,ti=$vxp.getPageWidget().getConfig(\"HubId\"),it,p,ct;ui&&$vxp.useExternalReporting();var vt=function(){o?u.css(\"display\",\"block\").css(\"opacity\",1):nt?u.css(\"opacity\",0).css(\"display\",\"block\").stop().animate({opacity:1},tt/2):u.show();if(!o){var t=u.width(),i=u.height(),r=MsnVideo2.getProperties({type:\"currentModule\",targetId:pt})[0],n=r.param.metadata,f=n.staticWidth,e=n.staticHeight,s=n.staticImageOffset.x,h=n.staticImageOffset.y,c=(f-t)/2+s,l=(e-i)/2+h;u.css(\"left\",c+\"px\"),u.css(\"top\",l+\"px\")}},ut=function(){b||(nt?u.stop().animate({opacity:0},tt/2,function(){u.hide()}):u.hide())},at=function(){rt&&t.find(\"div.vxp_mmv_content_active\").length==1&&(o?t.parent().removeClass(\"vxpHide\"):(nt?t.parent().removeClass(\"vxpHide\").css(\"opacity\",0).animate({opacity:1},tt):t.parent().removeClass(\"vxpHide\"),ut()))},lt=function(i){rt=!1,b=!1,r=i,t=$vxp(\"#\"+r),$vxp.getPageWidget().setConfig(\"VideoId\",null),$vxp(n).find(\"div.vxpModuleContainer\").addClass(\"vxpHide\"),ut();if(t.find(\"div.vxp_mmv_content_active\").length==0||!t.html()){var u=t.getConfig(\"ModuleIndex\");$vxp(n).setConfig(\"InitialModuleIndex\",(u+1).toString()),t.setConfig(\"FullRender\",\"true\"),t.setConfig(\"FullRenderVisible\",\"false\"),t.widgetRefresh(function(){t=$vxp(\"#\"+r),t.setConfig(\"FullRenderVisible\",\"true\"),at()})}},bt=function(){rt=!0,ht(),o||vt(),at();var n=t.attr(\"data-type\");n!=\"heroPlayerAdModule\"&&n!=\"heroPlayerWegPageModule\"&&$vxp.reportExternalPageView(ti+\"_\"+r)},ft=function(n){w=!0,window.MsnVideo2&&MsnVideo2.sendMessage({type:\"overlayOpened\"}),o||g?($vxp.vxpGlobal.overlayPlayerState=\"loaded:complete\",ii()):(lt(n),bt())},kt=function(){ht()},ht=function(){var n=d.width(),u=Math.round((n-1e3)/2),r;wt.css(\"left\",u+\"px\");if(!o){var t=MsnVideo2.getProperties({type:\"currentModule\",targetId:pt})[0],f=t.param.containerWidth,i=t.param.metadata;i&&(r=Math.round((n-f)/2)+i.activeContentMarginLeft,y.css(\"left\",r+\"px\"))}},st=function(n,t,i,r){var k=390,b=320,w=525,p=450,y=15,d=70,a=Math.abs(t-n),u={},e=0,l=0,c=0,h=0,s=0,o=0,v=\"center\",f,g;return n<t?(e=-((a-1)*b+p),l=y,c=-((a-1)*k+w),h=d,s=1,o=0,v=\"left\"):n>t&&(e=(a-1)*b+p,l=y,c=-((a-1)*k+w),h=-d,s=0,o=1,v=\"right\"),undefined!=i&&undefined!=r&&t!=i&&r>0&&(f=st(n,i),r>1&&(r=1),g=e,e+=(f.translateX-e)*r,l+=(f.translateY-l)*r,c+=(f.translateZ-c)*r,h+=(f.rotationY-h)*r,s+=(f.leftShadowOpacity-s)*r,o+=(f.rightShadowOpacity-o)*r,u.transitionPosition=f.position),u.translateX=e,u.translateY=l,u.translateZ=c,u.rotationY=h,u.leftShadowOpacity=s,u.rightShadowOpacity=o,u.position=v,u},h=!1,ot=null,ri=function(n){n.transform.position==\"center\"&&(n.leftShadow.css(\"visibility\",\"hidden\"),n.rightShadow.css(\"visibility\",\"hidden\")),setTimeout(function(){n.transform.position==\"right\"?(n.leftShadow.css(\"opacity\",0),n.rightShadow.css(\"opacity\",1).css(\"visibility\",\"visible\"),n.closeButton.css(\"visibility\",\"hidden\")):n.transform.position==\"left\"?(n.leftShadow.css(\"opacity\",1).css(\"visibility\",\"visible\"),n.rightShadow.css(\"opacity\",0),n.closeButton.css(\"visibility\",\"visible\")):n.closeButton.css(\"visibility\",\"visible\")},100)},e=function(u,e,o,s){var ut,w,v,p,a,k;if(h)return;for(h=!0,i=u,w=650,v=0;v<f.length;v++){if(!c[v]){var d=f.eq(v),g=d.children(\".vxp_mmv_module_shadow\"),rt=g.eq(0),nt=g.eq(1),it=d.children(\"div.vxp_mmv_close_button\"),l=d.children().eq(0),tt=l.attr(\"id\");c[v]={moduleContainer:d,leftShadow:rt,rightShadow:nt,closeButton:it,module:l,id:tt}}var b=c[v],l=b.moduleContainer,y=st(v,i,o,s);b.transform=y,e==\"none\"?w=0:e==\"fast\"?w=300:e==\"slow\"&&(w=1250),e!=ot&&(e==\"none\"?p=\"0ms\":e==\"fast\"?(p=\"300ms\",a=\"ease-out\"):e==\"slow\"?(p=\"1250ms\",a=\"ease\"):(p=\"650ms\",a=\"ease-in-out\")),k=\"translateX(\"+y.translateX+\"px) translateY(\"+y.translateY+\"px) translateZ(\"+y.translateZ+\"px) rotateY(\"+y.rotationY+\"deg)\",$vxp.browser.msie?(l.get(0).style[\"-ms-transition-duration\"]=p,a&&(l.get(0).style[\"-ms-transition-timing-function\"]=a),$vxp.browser.version>=9&&(l.css(\"opacity\",1).css(\"z-index\",y.translateZ).get(0).style[\"-ms-transform\"]=k)):$vxp.browser.mozilla?(l.css(\"-moz-transition-duration\",p),a&&l.css(\"-moz-transition-timing-function\",a),l.css(\"opacity\",1).css(\"-moz-transform\",k)):(l.css(\"-webkit-transition-duration\",p),a&&l.css(\"-webkit-transition-timing-function\",a),l.css(\"opacity\",1).css(\"-webkit-transform\",k)),b.position!=y.position&&undefined==o&&(ri(b),b.position=y.position)}ot=e,w==0&&(h=!1),undefined==o&&c.length>0&&(t=c[i].module,r=c[i].id,setTimeout(function(){$vxp(n).setConfig(\"InitialModuleIndex\",(i+1).toString()),$vxp(n).fireEvent(\"moduleChangeBegin\",r)},100),clearTimeout(yt),yt=setTimeout(function(){h=!1,$vxp(n).fireEvent(\"moduleChangeComplete\")},w))},ii=function(){$vxp.browser.msie&&$vxp.browser.version<9||$vxp(\"#vxpOverlayContent\").css(\"opacity\",1),e(i,\"slow\")},et=function(){var t=$vxp(\"div.watchTemplate div.vxp_player\"),n;t.length>0&&(n=t.attr(\"id\"),$vxp.vxpGlobal.players[n]&&$vxp.vxpGlobal.players[n].adapter&&$vxp.vxpGlobal.players[n].adapter.pauseVideo&&$vxp.vxpGlobal.players[n].adapter.pauseVideo())};$vxp.fn.mmvSendMessage=function(n,t){if(window.MsnVideo2){var i=$vxp(this).parents().andSelf().filter(\".vxp_heroPlayer\").attr(\"id\")+\"_carousel\";MsnVideo2.sendMessage({type:n,param:t,targetId:i})}},$vxp.fn.mmvProperty=function(n){var i=null,r,t;return window.MsnVideo2&&(r=$vxp(this).parents(\".vxp_heroPlayer\").attr(\"id\")+\"_carousel\",t=MsnVideo2.getProperties({type:n,targetId:r}),t.length>0&&(i=t[0].param)),i},$vxp.fn.mmvOnCarouselReady=function(n){w?n(r):$vxp(this).subscribeEvent(\"carouselLoadBegin\",function(){n(r)})},$vxp.fn.clickOrTouch=function(n){var t=window.navigator.userAgent.match(/iPad/i);t?$vxp(this).bind(\"touchend\",n):$vxp(this).click(n)},$vxp(n).subscribeEvent(\"moduleChangeBegin\",function(n){w&<(n)}),$vxp(n).subscribeEvent(\"moduleChangeComplete\",function(){w&&bt()}),$vxp(n).subscribeEvent(\"heroPlayerResize\",function(){kt()}),$vxp(n).subscribeEvent(\"heroPlayerClose\",function(){MsnVideoUx.closeOverlayPlayer()}),$vxp(n).subscribeEvent(\"heroPlayerShowSpinner\",function(){b=!0,vt()}),$vxp(n).subscribeEvent(\"heroPlayerHideSpinner\",function(){b=!1,ut()}),$vxp(document).keyup(function(t){t.which==27&&$vxp(n).fireEvent(\"heroPlayerClose\")});var si=d.height(),fi=90,ni=0;y.css(\"top\",fi+\"px\"),wt.css(\"top\",ni+\"px\"),$vxp(\"#vxpOverlaySpinner\").hide(),$vxp(n).parents(\".vxpOverlay\").find(\"div.vxpOverlayBackground, #vxpOverlayContent\").css(\"cursor\",\"pointer\").attr(\"title\",dt);if(o||g){r=f.eq(i).children().attr(\"id\"),t=$vxp(\"#\"+r),ft(r),f.clickOrTouch(function(n){var t=parseInt($vxp(this).attr(\"data-moduleIndex\"));t!=i&&(n.preventDefault(),e(t))}),f.find(\"div.vxp_mmv_close_button\").click(function(){$vxp(n).fireEvent(\"heroPlayerClose\")}),g&&(et(),$vxp(\"#vxpOverlayContent\").click(function(){$vxp(n).fireEvent(\"heroPlayerClose\")}),it=$vxp(\"div.vxp_mmv_content_active\"),it.css(\"cursor\",\"default\"),it.click(function(n){n||(n=window.event),n.cancelBubble=!0,n.stopPropagation&&n.stopPropagation()}));var k=!1,v=!1,s=-1,a=-1;$vxp(\"#vxpOverlayContent, #vxpOverlayBackground\").bind(\"touchstart\",function(n){var t=$vxp(n.target).parents(\".vxpModuleContainer\").children(\".ux\"),i=n.originalEvent.touches,u;t.length>0&&t.attr(\"id\")==r||i.length!=1||(u=i[0],s=u.pageX,n.preventDefault(),v=!0,k=!1)}).bind(\"touchend\",function(){if(v&&k){var r=a-s,u=300,o=Math.min(1,Math.abs(r/u)),c=r<0,t=c?i+1:i-1;t>=0&&t<f.length&&(h||(o<.25?(e(i,\"fast\",null,null),s=a=-1):(e(t,\"fast\",null,null),s=a=-1)))}}).bind(\"touchmove\",function(n){var o=n.originalEvent.touches;o.length>1?v=!1:n.preventDefault();if(v){k=!0;var c=n.originalEvent.touches[0],l=a=c.pageX,u=l-s,y=300,r=Math.min(1,Math.abs(u/y)),p=u<0,t=p?i+1:i-1;t>=0&&t<f.length&&(h||(r>.5?(e(t,\"fast\",null,null),s=a=-1,k=!1,v=!1):r>0&&e(i,\"none\",t,r)))}}),$vxp(n).subscribeEvent(\"rotateToModule\",function(n){e(n)})}else $vxp(n).setConfig(\"fullrenderallmodules\",\"true\"),et(),$vxp(\".vxpCarousel\").css(\"width\",\"100%\").css(\"height\",\"100%\"),p=d.find(\"object\"),$vxp.vxpGlobal.overlayPlayerState&&$vxp.vxpGlobal.overlayPlayerState.indexOf(\"loaded\")!=-1&&d.length>0&&p[0].getActiveModuleId?(ct=p[0].getActiveModuleId(),ft(ct)):$vxp(n).subscribeEvent(\"carouselLoadBegin\",function(){var n=p[0].getActiveModuleId();ft(n)})});$vxp.registerWidget(\"heroPlayerGalleryModule\",function(n){var u=$vxp(n).getConfigs(),f=$vxp(n).find(\"div.vxp_heroPlayerModuleTitlebar .vxp_pagination\"),i=$vxp(n).find(\"div.vxp_pagedGallery\"),t,r,e=u.usehtml5,o=u.mmvlite;if(u.mmvflippermode)return;$vxp(n).subscribeEvent(\"paginationEvent\",function(t){i.setConfig(\"CurrentPage\",t),i.widgetRefresh(function(){i=$vxp(n).find(\"div.vxp_pagedGallery\")}),$vxp.reportExternalPageView()},f),$vxp(n).subscribeEvent(\"playVideo\",function(i){t=$vxp(n).parents(\".vxp_heroPlayerContainer\").find(\"div.vxp_heroPlayerVideoModule\");var u=t.getConfig(\"ModuleIndex\");i.metadata.dataIndex=0,e||o?(r=i,$vxp(n).fireEvent(\"rotateToModule\",u)):(t.html()?r=i:t.setConfig(\"VideoId\",i.id),$vxp(n).mmvSendMessage(\"rotateToModule\",{moduleIndex:u}),$vxp(n).mmvSendMessage(\"updateStaticImage\",{imageUrl:i.metadata.selectedImgSrc}))},i.find(\".vxp_gallery\").eq(0)),$vxp(n).mmvOnCarouselReady(function(){$vxp(n).subscribeEvent(\"moduleChangeComplete\",function(){t&&r&&$vxp(n).fireEvent(\"playVideo\",r,t)})})});$vxp.registerWidget(\"mmvFlipper\",function(n){function ft(n){var t,i;if(n!=null){t=n.attributes.getNamedItem(\"data-containermap\");if(t!=null&&t.value!=null&&t.value.length>0)for(t=t.value,i=0;i<t.length;i++)t.charAt(i)!=\"0\"&&a.push(i)}}function nt(){if(t.active!=null){var n=t.active.className,i=t.active.className.substring(0,t.active.className.length-1),r=t.inactive.className.substring(0,t.inactive.className.length-1);n=parseInt(n.substring(n.length-1)),!isNaN(n)&&n<3?(n++,t.active.className=i+n,t.inactive.className=r+n,setTimeout(nt,100)):tt()}}function tt(){var i,n;t.oldprev!=null&&(t.oldprev.className==\"vxpMmvFlipperPrevImg\"&&(t.oldprev.className=\"vxpMmvFlipperHiddenImg\"),t.oldprev=null),t.oldnext!=null&&(t.oldnext.className==\"vxpMmvFlipperNextImg\"&&(t.oldnext.className=\"vxpMmvFlipperHiddenImg\"),t.oldnext=null),t.inactive!=null&&(i=t.inactive.className.substring(0,t.inactive.className.length-1),t.inactive.className=i.indexOf(\"ToNext\")!=-1?\"vxpMmvFlipperNextImg\":\"vxpMmvFlipperPrevImg\",t.inactive=null),t.active!=null&&(t.active.className=\"vxpMmvFlipperActiveImg\",n=t.active.attributes.getNamedItem(\"data-isVideo\"),c.className=n!=null&&n.value!=null&&n.value.length>0?\"vxpMmvFlipperPlay\":\"vxpMmvFlipperPlayHidden\",t.active=null)}function it(){var n=r;n=a!=null&&a.length>r?a[r]:0,n++,MsnVideoUx.launchOverlayPlayer(e,o,{initialModuleIndex:n},{hubDomain:$vxp.getPageWidget().getConfig(\"hubDomain\")})}function rt(){ut(!0)}function p(){ut(!1)}function ut(n){var o,s,a;if(u>2){var e=r,h=e>0?e-1:u-1,l=e<u-1?e+1:0,f=-1;f=n?h:l,o=f>0?f-1:u-1,s=f<u-1?f+1:0,tt(),r=f,k.innerText=i[f].attributes.getNamedItem(\"data-subtitle\").value,c.className=\"vxpMmvFlipperPlayHidden\",a=i[f].attributes.getNamedItem(\"data-isVideo\"),f==h?(i[f].className=\"vxpMmvFlipperPrevToActive1\",i[o].className=\"vxpMmvFlipperPrevImg\",i[s].className=\"vxpMmvFlipperActiveToNext1\",t.oldprev=null,t.oldnext=i[l],t.inactive=i[s],t.active=i[f]):(i[f].className=\"vxpMmvFlipperNextToActive1\",i[o].className=\"vxpMmvFlipperActiveToPrev1\",i[s].className=\"vxpMmvFlipperNextImg\",t.oldprev=i[h],t.oldnext=null,t.inactive=i[o],t.active=i[f]),setTimeout(nt,100)}}var r=0,h=$vxp(n).find(\"div.vxpMmvFlipperNoPages\")[0],y=$vxp(n).find(\"div.vxpMmvFlipperPostersContainer\"),v=$vxp(n).find(\"div.vxpMmvTitle\")[0],k=$vxp(n).find(\"div.vxpMmvSubTitle\")[0],c=$vxp(n).find(\"div.vxpMmvFlipperPlayHidden\")[0],b=$vxp(n).find(\"div.vxpMmvFlipperPrevArrow\")[0],w=$vxp(n).find(\"div.vxpMmvFlipperNextArrow\")[0],s=$vxp(n).find(\"div.heroPlayerContainer\")[0],et=$vxp(n).find(\"div.vxpMmvFlipperContainerMap\")[0],i=y!=null?y.find(\"img\"):null,u=i!=null?i.length:0,t={},a=[],e=v==null?null:v.attributes.getNamedItem(\"data-mmvconfig\"),o=v==null?null:v.attributes.getNamedItem(\"data-mmvcsid\"),f,d,g,l;e=e!=null&&e.value!=null&&e.value.length>0?e.value:null,o=o!=null&&o.value!=null&&o.value.length>0?o.value:null,i.each(function(){$vxp(this).appendTo(y)}),s!=null&&(s.className=\"vxpMmvZIndexAuto \"+s.className,r=parseInt(s.attributes.getNamedItem(\"data-initialModuleIndex\").value),s.appendChild(b),s.appendChild(w)),(isNaN(r)||r<0||r>=u)&&(r=0),u>0?($vxp(n).find(\"#_prevArrow\").click(function(){rt()}),$vxp(n).find(\"#_prevArrow\").dblclick(function(){rt()}),$vxp(n).find(\"#_nextArrow\").click(function(){p()}),$vxp(n).find(\"#_nextArrow\").dblclick(function(){p()}),$vxp(n).find(\"img.vxpMmvFlipperHiddenImg\").each(function(){$vxp(this).click(function(){it()})}),$vxp(n).find(\"div.vxpMmvFlipperPlayHidden\").click(function(){it()}),ft(et),f=r,u>2?(d=f>0?f-1:u-1,g=f<u-1?f+1:0,i[d].className=\"vxpMmvFlipperPrevImg\",i[g].className=\"vxpMmvFlipperNextImg\",b.className=\"vxpMmvFlipperPrevImgHoverEffect\",w.className=\"vxpMmvFlipperNextImgHoverEffect\"):u>1&&(i[1].className=\"vxpMmvFlipperHiddenImg\"),i[f].className=\"vxpMmvFlipperActiveImg\",k.innerText=i[f].attributes.getNamedItem(\"data-subtitle\").value,l=i[f].attributes.getNamedItem(\"data-isVideo\"),c.className=l!=null&&l.value!=null&&l.value.length>0?\"vxp_anchor vxpMmvFlipperPlay\":\"vxp_anchor vxpMmvFlipperPlayHidden\"):(h!=null&&(h.style.display=\"block\"),h!=null&&(h.style.display=\"block\"),e==null&&(h.firstChild.style.visibility=\"visible\"))});$vxp.registerWidget(\"heroPlayerOpenHtmlModule\",function(){});$vxp.registerWidget(\"heroPlayerPhotoModule\",function(n){var o=$vxp(n).attr(\"id\"),r=$vxp(n).find(\".vxp_photoViewer\"),u=$vxp(n).find(\".vxp_pagedGallery\"),f=$vxp(n).find(\".vxp_heroPlayerModuleTitlebar .vxp_pagination\"),t=0,e=$vxp(n).getConfigs(),i;if(e.mmvflippermode)return;i=function(i,e){i.metadata.dataIndex!=t&&(t=i.metadata.dataIndex,i.metadata.transition||(i.metadata.transition=\"dissolve\"),e||$vxp(n).fireEvent(\"photoClicked\",i,r),$vxp(n).fireEvent(\"updateActiveGalleryItem\",i.metadata.dataIndex,u),$vxp(n).fireEvent(\"PaginationPageChangedEvent\",i.metadata.dataIndex+1,f),i.metadata.selectedImgSrc&&$vxp(n).mmvSendMessage(\"updateStaticImage\",{imageUrl:i.metadata.selectedImgSrc}),$vxp.reportExternalPageView())},$vxp(n).subscribeEvent(\"paginationEvent\",function(i){$vxp(n).fireEvent(\"paginationEvent\",i,r),$vxp(n).fireEvent(\"updateActiveGalleryItem\",i-1,u),t=i-1,$vxp.reportExternalPageView()}),$vxp(n).subscribeEvent(\"photoClicked\",function(n){i(n)}),$vxp(n).subscribeEvent(\"photoChanged\",function(n){i(n,!0)}),$vxp(n).subscribeEvent(\"photoViewerAdStarted\",function(){$vxp(n).find(\".vxpPhotoModuleGalleryOverlay\").show()}),$vxp(n).subscribeEvent(\"photoViewerAdCompleted\",function(){$vxp(n).find(\".vxpPhotoModuleGalleryOverlay\").hide()})});$vxp.registerWidget(\"heroPlayerTrendingSearchesModule\",function(n){!$vxp(n).html()||$vxp(n).find(\"div.vxp_mmv_content_active\").length==0});$vxp.registerWidget(\"heroPlayerTwitterModule\",function(n){if(!$vxp(n).html()||$vxp(n).find(\"div.vxp_mmv_content_active\").length==0)return;var t=$vxp(n).getConfigs(),u=t.twitterscripturl,i=t.querytype.toLowerCase(),r=t.query,f=t.twittercontainerid,e=function(){var n={version:2,interval:6e3,width:640,id:f,theme:{shell:{background:\"#222222\",color:\"#ffffff\"},tweets:{background:\"#111111\",color:\"#999999\",links:\"#ffffff\"}},features:{scrollbar:!1,hashtags:!0,timestamp:!0}},t;i==\"searchterm\"?(n.type==\"search\",n.search=r,n.height=302,n.features.loop=!0,n.features.live=!0,n.features.avatars=!0,n.features.behavior=\"default\"):(n.type=\"profile\",n.height=269,n.rpp=5,n.features.loop=!0,n.features.live=!0,n.features.avatars=!1,n.features.behavior=\"all\"),t=new TWTR.Widget(n).render(),i==\"searchterm\"?t.start():t.setUser(r).start()};$vxp.ajax({type:\"GET\",url:u,dataType:\"script\",cache:!0,success:function(){setTimeout(function(){e()},0)}})});$vxp.registerWidget(\"heroPlayerVideoModule\",function(n){var r,v;if(!$vxp(n).html()||$vxp(n).find(\"div.vxp_mmv_content_active\").length==0)return;r=$vxp(n).getConfigs();if(r.mmvflippermode)return;var ri=r.continuousplaydelaytime,li=r.isactive,rt=r.playlistdata,ei={ad:r.secondaryadsallowed==\"Sponsorship\"?\"sponsor\":r.secondaryadsallowed==\"NoAds\"?\"false\":\"true\",adfull:r.secondaryadfullexperience,adpartial:r.secondaryadpartialexperience,videosplayed:r.secondaryadtriggervideosplayed,timeplaying:r.secondaryadtriggertimeplaying},h=$vxp(n).find(\"div.vxpPlayerContainer\"),t=h.find(\"div.vxp_player\"),ui=$vxp(n).find(\"div.vxp_heroPlayerModuleTitlebar .vxp_pagination\"),ni=$vxp(n).attr(\"id\"),oi=!0,ci=!1,l=!1,o=!1,f=1,ft=!1,a=null,fi=!1,e=!1,it=!1,tt=!1,bt=!1,g=!1,c=!1,d=-1,lt=!1,s=r.usehtml5,hi=r.mmvlite,k=window.navigator.userAgent.match(/iPad/i),at=!1,b=!1,i=function(){var n=u();return n?n.adapter:null},u=function(){return $vxp.vxpGlobal.players[t.attr(\"id\")]},w=function(){return a&&a==ni},ii=function(){$vxp(n).find(\".vxpTitlebarPageCurrent\").html(f)},st=function(n){n=parseInt(n);var t=rt.list.item[n-1].video,i={selectedImgSrc:t.selectedImageSrc.$,dataIndex:n-1,supportedPlayers:t.playerAdapter.$,mode:\"click\"};ut(t.id.$,i)},ti=function(){e||(h.removeClass(\"vxpPlayerHide\"),$vxp.browser.msie&&$vxp.browser.version<9||(oi?t.css(\"opacity\",0).animate({opacity:1},500):t.css(\"opacity\",1))),e=!0,s?it&&(it=!ht()):$vxp(n).fireEvent(\"heroPlayerHideSpinner\")},si=function(){s||(e=!1,h.addClass(\"vxpPlayerHide\"))},y=function(){var t=$vxp(\"#player1000x650ad\");t.html(\"\"),$vxp(n).mmvSendMessage(\"setSideModuleVisibility\",{visible:!0}),t.parent().removeClass(\"vxpBrandedAdActive\")},et=function(n){var i=Math.floor(n/60),r=n%60,t=\"\";return i>0&&(t+=i),t+=\":\",r<10&&(t+=\"0\"),t+=r},wt=function(){bt&&tt&&!e&&!t.html()&&t.html(t.getConfig(\"PlayerHtml\"))},nt=function(){var r,f,e;t=$vxp(n).find(\"div.vxp_player\"),c=!0,r=u()?u().type||\"\":\"\",r&&r.indexOf(\"msn\")!=-1&&(lt=!0,$vxp(n).setConfig(\"UseSecondaryAdPolicy\",!0)),i()&&i().isVideoPlayingEventSupported()&&t.getConfig(\"AutoPlayVideo\")&&!i().isContentStarted()||p(),f=$vxp(n).mmvProperty(\"currentModule\");if(f){var o=f.metadata,s=o.originalActiveHeight,h=u().controlBarHeight;d==-1&&(d=r.indexOf(\"msn\")!=-1?0:u().controlBarHeight),e=s+(h-d),$vxp(n).mmvSendMessage(\"updateActiveHeight\",{height:e}),$vxp(n).parent().height(e)}},p=function(){ti()},dt=function(){e||p()},kt=function(){f++,f>rt.list.item.length&&(f=1);var t=rt.list.item[f-1].video.id.$;$vxp(n).setConfig(\"VideoId\",t),$vxp(n).find(\"div.vxp_heroPlayerVideoModuleInfoPane\").widgetRefresh(function(){var i=$vxp(n).find(\".vxpInfoPanelUpNextCount\"),t=ri,r;$vxp(n).find(\"div.vxpInfoPanelContainer\").addClass(\"vxpUpNextMode\"),i.html(et(t)),ft=!0,r=setInterval(function(){t--,i.html(et(t)),t<=0&&(clearInterval(r),ft&&st(f))},1e3)})},ct=function(){e||p();if(i().getAdPosition){var t=$vxp(\"#player1000x650ad\");t.html().length>10&&($vxp(n).mmvSendMessage(\"setSideModuleVisibility\",{visible:!1}),s||t.parent().addClass(\"vxpBrandedAdActive\"))}},gt=function(){fi=!1,y()},yt=function(){bt=!0,wt()},vt=function(r){a=r,tt=!1;try{c&&i()&&i().pauseVideo&&i().pauseVideo(),y(),si()}catch(f){}w()?s&&(at=!0,it=!ht()):s?at?k&&(b=$vxp(n).find(\"video\").attr(\"controls\"),$vxp(n).find(\"video\").attr(\"controls\",\"\")):setTimeout(function(){$vxp(n).find(\"video\").css(\"display\",\"none\")},100):(!c||u()&&u().type&&u().type.indexOf(\"msn\")==-1)&&t.html(\"\")},ht=function(){var t=$vxp(n).find(\"video\");return t.length?(t.css(\"display\",\"block\"),k&&b&&(t.attr(\"controls\",\"1\"),b=null),!0):!1},ot=function(){if(w()){e||s||$vxp(n).fireEvent(\"heroPlayerShowSpinner\");if(t.html()){if(t.getConfig(\"AutoPlayVideo\")||s){i()&&i().playVideo();var r=t.attr(\"id\");$vxp.vxpGlobal.players[r]&&$vxp.vxpGlobal.players[r].isReady&&nt()}}else setTimeout(function(){tt=!0,wt()},500)}},ut=function(r,e){if(w()){ft=!1,e.dataIndex&&(f=e.dataIndex+1),ii(),y(),$vxp(n).setConfig(\"VideoId\",r);var o=u()?u().type||\"\":\"\";o&&o.indexOf(\"msn\")!=-1&<&&i().setAdPolicy&&i().setAdPolicy(ei),$vxp(n).fireEvent(\"playVideoInternal\",{id:r,metadata:e},t),$vxp(n).mmvSendMessage(\"updateStaticImage\",{imageUrl:e.selectedImgSrc}),$vxp(n).find(\"div.vxp_heroPlayerVideoModuleInfoPane\").widgetRefresh(),$vxp(n).fireEvent(\"updateActiveGalleryItem\",e.dataIndex,$vxp(n).find(\"div.vxp_mmv_vim_playlist .vxp_pagedGallery\")),$vxp(n).fireEvent(\"PaginationPageChangedEvent\",f,$vxp(n).find(\"div.heroPlayerModuleTitlebar .vxp_pagination\"))}},pt=!1;$vxp(n).mouseover(function(t){if(!pt){pt=!0;var i=function(){l=!1;var t=$vxp(n).find(\"div.vxp_mmv_vim_playlist\");$vxp.browser.msie&&$vxp.browser.version<9?(t.css(\"display\",\"none\"),o=!1):t.animate({opacity:0},500,null,function(){o=!1,t.css(\"display\",\"none\")})};h.find(\".vxp_mmv_vim_playlist_close\").click(function(){i()}),h.hover(function(){_playlistHover=!0,setTimeout(function(){if(_playlistHover&&!o&&!l){o=!0,l=!0;var t=$vxp(n).find(\"div.vxp_mmv_vim_playlist\");$vxp.browser.msie&&$vxp.browser.version<9?(t.css(\"display\",\"block\"),o=!1):t.css(\"display\",\"block\").css(\"opacity\",0).animate({opacity:1},500,null,function(){o=!1})}},500)},function(n){if($vxp(n.relatedTarget||n.toElement).parents().andSelf().filter(\".vxp_mmv_vim_playlist\").length>0)return;_playlistHover=!1,setTimeout(function(){_playlistHover||o||!l||g||i()},500)}),h.find(\"div.vxp_grid .vxp_gallery_item\").hover(function(){g=!0},function(){g=!1}),$vxp(t.target).mouseover()}}),$vxp.vxpGlobal.overlayPlayerState==\"loaded:complete\"?yt():$vxp(n).subscribeEvent(\"carouselLoadComplete\",function(){yt()}),$vxp(n).subscribeEvent(\"paginationEvent\",function(n){st(n)},ui),t.length>0&&($vxp(n).subscribeEvent(\"playerReady\",function(){nt()},t),$vxp(n).subscribeEvent(\"videoPlaying\",function(){dt()},t),$vxp(n).subscribeEvent(\"adPlaying\",function(){ct()},t),$vxp(n).subscribeEvent(\"adComplete\",function(){gt()},t),$vxp(n).subscribeEvent(\"contentComplete\",function(){kt()},t)),$vxp(n).subscribeEvent(\"playVideo\",function(t){if(t.metadata)ut(t.id,t.metadata);else{var i=t.id,r=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/videodata/?callback=?\";$vxp.getJSON(r,{responseEncoding:\"json\",ids:i,detailed:\"true\",v:\"2\"},function(t){if(t&&t.videos&&t.videos.length==1){var r={dataIndex:0,selectedImgSrc:t.videos[0].thumb,supportedPlayers:t.videos[0].playerAdapter};ut(i,r),$vxp(n).setConfig(\"VideoId\",i),$vxp(n).find(\"div.vxpPlaylistContent .vxp_pagedGallery\").widgetRefresh()}})}}),$vxp(n).subscribeEvent(\"mmvClose\",function(){c&&i()&&i().pauseVideo(),c=!1}),$vxp(n).mmvOnCarouselReady(function(i){a=i,$vxp(n).subscribeEvent(\"moduleChangeBegin\",function(n){vt(n)}),$vxp(n).subscribeEvent(\"moduleChangeComplete\",function(){ot()}),t.length>0&&(vt(i),ot())}),k&&$vxp(n).find(\"div.vxp_mmv_vim_playlist\").addClass(\"vxp_never_show\"),v=t.attr(\"id\"),$vxp.vxpGlobal.players[v]&&$vxp.vxpGlobal.players[v].isReady&&nt(),i()&&i().isAdPlaying()&&ct()});$vxp.registerWidget(\"heroPlayerVideoModuleInfoPane\",function(n){var f=$vxp(n).getConfigs(),i=f.playerid,r=!0,u,t=function(){var n=e();return n?n.adapter:null},e=function(){return $vxp.vxpGlobal.players[i]},o=function(n){var i=Math.floor(n/60),r=n%60,t=\"\";return i>0&&(t+=i),t+=\":\",r<10&&(t+=\"0\"),t+=r},s=function(){if(t()&&t().getAdPosition){r=!0,$vxp(n).find(\".vxpInfoPanelUpNextCount\").html(\"\");var i=!0;u=setInterval(function(){if(r){if(!t()){clearInterval(u);return}param=t().getAdPosition();if(param){i&&(i=!1,$vxp(n).find(\"div.vxpInfoPanelContainer\").addClass(\"vxpUpNextMode\"));var f=Math.floor(param.duration-param.position);!isNaN(f)&&f>=0&&$vxp(n).find(\".vxpInfoPanelUpNextCount\").html(o(f))}}},500)}},h=function(){r=!1,clearInterval(u),$vxp(n).find(\"div.vxpInfoPanelContainer\").removeClass(\"vxpUpNextMode\")};$vxp(n).subscribeEvent(\"adPlaying\",function(){s()},$vxp(\"#\"+i)),$vxp(n).subscribeEvent(\"adComplete\",function(){h()},$vxp(\"#\"+i))});$vxp.registerWidget(\"heroPlayerWebpageModule\",function(){});$vxp.registerWidget(\"openGraphRedirectModule\",function(){});$vxp.registerWidget(\"chaptersControl\",function(n){function tt(){var r,i;$vxp(n).find(\"table.vxp_chaptersControlChaptersOuterContainer\").length>0&&(f=$vxp(n).find(\"table.vxp_chaptersControlChaptersOuterContainer\")[0].attributes.getNamedItem(\"data-videoid\"),f=f!=null&&f.value!=null&&f.value.length>0?f.value:null);if(t!=null&&t.length>0)for($vxp(n).find(\"td.vxp_chaptersControlDisabledChapter\").each(function(){$vxp(this).click(function(){y(this)})}),$vxp(n).find(\"a\").each(function(){$vxp(this).focus(function(){g(this)}),$vxp(this).blur(function(){d(this)}),$vxp(this).click(function(n){return et(n,this)}),$vxp(this).keydown(function(n){return st(n,this)})}),r=0;r<t.length;r++)i=t[r].attributes.getNamedItem(\"data-chaptertime\"),i=i!=null&&i.value!=null&&i.value.length>0?i.value:null,i!=null&&(i=parseInt(i),isNaN(i)||(o.push(i),a=i))}function ft(){var u,f,t,r;i==null&&($vxp(n).parents(\"div.vxp_multiplayerLite\").length>0?i=$vxp(n).parents(\"div.vxp_multiplayerLite\").find(\"div.vxp_player\"):$vxp(n).parents(\"div.vxp_playerContainer\").length>0&&(c=$vxp(n).parents(\"div.vxp_playerContainer\")[0],i=$vxp(n).parents(\"div.vxp_playerContainer\").find(\"div.vxp_player\")),u=i==null?null:i.find(\"object\"),f=u==null?null:u.attr(\"type\"),f!=null&&f.toLowerCase().indexOf(\"silverlight\")>0&&(t=$vxp(n).find(\"div.vxp_chaptersControlLeftMarginFlash\"),r=$vxp(n).find(\"div.vxp_chaptersControlRightMarginFlash\"),t!=null&&(t.removeClass(\"vxp_chaptersControlLeftMarginFlash\"),t.addClass(\"vxp_chaptersControlLeftMarginSl\")),r!=null&&(r.removeClass(\"vxp_chaptersControlRightMarginFlash\"),r.addClass(\"vxp_chaptersControlRightMarginSl\"))))}function ut(){$vxp(n)[0].style.display=\"none\";if(i!=null){var t=i.attr(\"id\");t!=null&&$vxp.vxpGlobal.players!=null&&$vxp.vxpGlobal.players[t]!=null&&(r=$vxp.vxpGlobal.players[t].adapter,r!=null&&(r.isSeekSupported()?(c!=null&&(c.style.height=\"auto\"),$vxp(n)[0].style.display=\"block\",b(),h=setInterval(rt,1e3)):r=null))}}function rt(){var u;if(r!=null){var i=!0,t=r.getStatus(),n=r.getPositionEx(),f=n!=null?n.downloadProgress:0;t!=null&&(t=t.toLowerCase()),(t==\"videoplaying\"||t==\"videopaused\")&&(i=!1),!i&&e?(e=!1,u=n==null||isNaN(n.duration)||isNaN(n.downloadProgress)?a:Math.floor(n.duration*n.downloadProgress),v(u)):!i&&f<1?(u=n==null||isNaN(n.duration)||isNaN(n.downloadProgress)?a:Math.floor(n.duration*n.downloadProgress),v(u)):i&&!e&&(e=!0,v(0)),t==\"videoplaying\"&&it(r.getPosition())}}function k(){t!=null&&t.length>0&&ut(),c!=null&&$vxp.VideoModule.updateLayout()}function it(n){var r=-1,i;for(n=Math.floor(n),i=0;i<o.length;i++)n>=o[i]&&(r=i);p(r==-1?null:t[r])}function y(n){var i=n==null?-1:n.cellIndex,u=i==-1?\"\":t[i].className;r==null||e||u==\"vxp_chaptersControlDisabledChapter\"||(p(n),ot())}function ot(){u>=0&&u<o.length&&r!=null&&r.setPosition(o[u])}function p(n){var i=n==null?-1:n.cellIndex;i!=u&&(u!=-1&&(t[u].className=\"vxp_chaptersControlInactiveChapter\"),u=i,u!=-1&&(t[u].className=\"vxp_chaptersControlActiveChapter\"))}function v(n){if(t!=null&&t.length>0)for(var i=0;i<t.length;i++)t[i].className=e||o[i]>n?\"vxp_chaptersControlDisabledChapter\":i==u?\"vxp_chaptersControlActiveChapter\":\"vxp_chaptersControlInactiveChapter\"}function nt(t){t!=f&&(w||(w=!0,b(),$vxp(n)[0].style.display=\"none\",$vxp(n).setConfig(\"VideoId\",t),$vxp(n).widgetRefresh()))}function b(){h!=null&&(clearInterval(h),h=null)}function g(n){var t=$(n).parent();t!=null&&t.addClass(\"vxp_focusedChapter\")}function d(n){var t=$(n).parent();t!=null&&t.removeClass(\"vxp_focusedChapter\")}function et(n,t){var i=t.parentNode;return i!=null&&y(i),!1}function st(n,t){if(n.which==32||n.keyCode==32){var i=t.parentNode;if(i!=null)return y(i),!1}}var ht=0,f=null,l=$vxp(n).find(\"table.vxp_chaptersControlChaptersContainer\")[0],c=null,t=l!=null&&l.rows.length>0?l.rows[0].cells:null,i=null,r=null,u=-1,o=[],h=null,e=!0,w=!1,a=0,s;tt(),ft(),i!=null&&(s=i.attr(\"id\"),s!=null&&$vxp.vxpGlobal.players!=null&&$vxp.vxpGlobal.players[s]!=null&&$vxp.vxpGlobal.players[s].isReady&&k()),i!=null&&(r==null&&$vxp(n).subscribeEvent(\"playerReady\",k,i),$vxp(n).subscribeEvent(\"videoChanged\",function(n){nt(n.uuid)},i))});$vxp.registerWidget(\"rating\",function(n){var t,u=$vxp(n).getConfig(\"Rating\"),f=$vxp(n).getConfig(\"VideoId\"),i=function(t,i){var r=Math.round(t)!=Math.ceil(t),u=75-Math.ceil(t)*15,f=r*14+i*28,e=-1*u+\"px \"+-1*f+\"px\";$vxp(n).find(\".r\").css(\"background-position\",e)},r=function(){t?i(t,!0):i(u,!1)},e=function(n,t){var r=$vxp.getPageWidget().getConfig(\"VideoCatalogUrl\"),u=r+\"/frauddetect.aspx?callbackName=?\",f={u:n,t:3,ag:t};$vxp.getJSON(u,f,function(){})},o=function(n){var i=n.offsetX,u;typeof i==\"undefined\"&&(u=$vxp(n.target).offset(!1),i=n.pageX-u.left),t=Math.ceil(i/15),e(f,t),r()},s=$vxp(n).find(\".r\");s.mousemove(function(n){var t=n.offsetX,r;typeof t==\"undefined\"&&(r=$vxp(n.target).offset(!1),t=n.pageX-r.left),i(Math.ceil(t/15),!0)}).mouseout(r).mouseup(function(n){o(n)}),r()});(function(n){n.vxpGlobal.adapters.bing=function(){this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!1},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getPositionEx=function(){},this.getVolume=function(){return null},this.setVolume=function(){},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){}}})($vxp);(function(n){n.vxpGlobal.adapters.cbs=function(t){function f(n){var i=n[0],r=n[1],f=n[2],t;e(n[3]),f==\"img\"&&u('<a href=\"'+r+'\" id=\"extAdLink\" target=\"_blank\"+ ><img id=\"extAd\" src=\"'+i+'\" width=\"300\" height=\"60\" /></a>'),f==\"swf\"&&(t=\"\",t='<object classid=\"clsid:D27CDB6E-AE6D-11cf-96B8-444553540000\" codebase=\"http://download.macromedia.com/pub/shockwave/cabs/flash/swflash.cab#version=9,0,124,0\" ',t+='width=\"300\" height=\"60\" >',t+='<param name=\"movie\" value='+i+\">\",t+='<param name=\"quality\" value=\"high\">',t+='<param name=\"scale\" value=\"noscale\">',t+='<param name=\"menu\" value=\"false\">',t+='<param name=\"salign\" value=\"tl\">',t+='<param name=\"allowScriptAccess\" value=\"always\">',t+='<param name=\"wmode\" value=\"opaque\">',t+='<param name=\"flashVars\" value=\"clickTag='+r+'\">',t+=\"<embed src=\"+i+' width=\"300\" height=\"60\" play=\"true\" quality=\"high\" scale=\"noscale\" ',t+='menu=\"false\" salign=\"tl\" allowScriptAccess=\"always\" wmode=\"transparent\" flashVars=\"clickTag='+r+'\" pluginspage=\"http://www.macromedia.com/go/getflashplayer\" ',t+='type=\"application/x-shockwave-flash\">',t+=\"</embed>\",t+=\"</object>\",u(t))}function u(i){var r=t.getConfig(\"BannerAdDivId\");n(\"#\"+r).html(i)}function e(n){for(i=0;i<n.length;i++)if(n[i]!=\"\"){var t=document.createElement(\"div\");t.innerHtml='<img src=\"'+n[i]+'\" width=\"1\" height=\"1\" border=\"0\" style=\"position:absolute; bottom:0; right:0\"/>',document.getElementsByTagName(\"body\")[0].appendChild(t)}}var r;this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!0},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getPositionEx=function(){},this.getVolume=function(){return null},this.setVolume=function(){},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){r=null},window.cbsAdapterOnPlayListEnd=function(){n(t).fireEvent(\"contentComplete\")},window.cbsAdapterOnContentStart=function(){n.fireEvent(\"CountdownCancelRequest\",!0),n(t).fireEvent(\"videoPlaying\")},window.cbsAdapterOnAdStart=function(){n.fireEvent(\"CountdownCancelRequest\",!0)},window.setExternalAd=function(t,i){var e=i,o=t,f;n(\"#vxp300x60ad\").html(\"\"),o&&o!=\"\"&&(f=\"<img src='\"+o+\"'></img>\",e&&e!=\"\"&&(f=\"<a href='\"+e+\"' target='_blank'>\"+f+\"</a>\"),n(\"#vxp300x60ad\").html(f))},window.onCBSI_AdResourcesInfo=function(){var n=r.getCompanionAdInfoBySize(300,60);n.length>0&&f(n[0])},this.init=function(){setTimeout(function(){r=t.find(\"OBJECT\")[0],r.addEventJSCallback(\"onPlayListEnd_cbsi\",\"cbsAdapterOnPlayListEnd\"),r.addEventJSCallback(\"onContentStart_cbsi\",\"cbsAdapterOnContentStart\"),r.addEventJSCallback(\"onAdStart_cbsi\",\"cbsAdapterOnAdStart\"),r.addEventJSCallback(\"onAdResourcesInfo\",\"onCBSI_AdResourcesInfo\")},0)},this.init()}})($vxp);(function(n){n.vxpGlobal.adapters.dailymotion=function(t){var i=t.find(\"object\").length>0?t.find(\"object\")[0]:null;this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!0},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.getPositionEx=function(){},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getAdPosition=function(){return 0},this.getVolume=function(){var n=i.getVolume()/100,t=i.isMuted();return{volume:n,mute:t}},this.setVolume=function(n,t){i.setVolume(Math.floor(n*100)),t?i.mute():i.unMute()},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){i=null},window.dailyMotionAdapterOnStateChange=function(i){i==0?n(t).fireEvent(\"contentComplete\"):i==1&&n.fireEvent(\"CountdownCancelRequest\",!0)},i&&i.addEventListener(\"onStateChange\",\"dailyMotionAdapterOnStateChange\")}})($vxp);(function(n){n.vxpGlobal.adapters.hulu=function(t){function i(){return{videoPlayheadUpdate:function(n){f=n.position},videoStateChange:function(){n.fireEvent(\"CountdownCancelRequest\",!0)},videoStart:function(){u=!0,n.fireEvent(\"CountdownCancelRequest\",!0),n(t).fireEvent(\"videoPlaying\")},videoAdBegin:function(){r=!0,u=!0},videoAdEnd:function(){r=!1},theEnd:function(){n(t).fireEvent(\"contentComplete\")},newsiteError:function(){n(t).fireEvent(\"contentComplete\")},init:function(){NewSite.addListener(\"videoStateChange\",i()),NewSite.addListener(\"videoStart\",i()),NewSite.addListener(\"videoPlayheadUpdate\",i()),NewSite.addListener(\"theEnd\",i()),NewSite.addListener(\"newsiteError\",i())}}}var f=-1,r=!1,u=!1;this.isSeekSupported=function(){return!0},this.isShareSupported=function(){return!0},this.isContinuousPlaySupported=function(){return!0},this.isVideoPlayingEventSupported=function(){return!0},this.isAdPlaying=function(){return r},this.isContentStarted=function(){return u},this.getPosition=function(){return f},this.getPositionEx=function(){},this.setPosition=function(n){NewSite.videoPlayerComponent.seek(n)},this.getAdPosition=function(){return 0},this.getVolume=function(){var n=NewSite.videoPlayerComponent.getProperty(\"volume\"),t=n/100,i=n==0;return{volume:t,mute:i}},this.setVolume=function(n,t){NewSite.videoPlayerComponent.setVolume(t?0:Math.floor(n*100))},this.pauseVideo=function(){NewSite.videoPlayerComponent.pauseVideo()},this.playVideo=function(){NewSite.videoPlayerComponent.resumeVideo()},this.openSharePane=function(){NewSite.videoPlayerComponent.openMenu()},this.closeSharePane=function(){},this.toggleSharePane=function(){this.openSharePane()},this.resize=function(){},this.dispose=function(){},i().init()}})($vxp);(function(n){n.vxpGlobal.adapters.maximumtv=function(t){var i=t.find(\"object\")[0];this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!0},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getPositionEx=function(){},this.getVolume=function(){return null},this.setVolume=function(){},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){i=null},i.Content.MaximumTvEmbeddedPlayer.VideoStarted=function(){n.fireEvent(\"CountdownCancelRequest\",!0),n(t).fireEvent(\"videoPlaying\")},i.Content.MaximumTvEmbeddedPlayer.VideoEnded=function(){n(t).fireEvent(\"contentComplete\")}}})($vxp);(function(n){var t=function(t){var r=t._player;t._isAdPlaying=!1,t._playerId=t._player.attr(\"id\"),t._lastPlayerWidth=0,t._lastPlayerHeight=0,t._adImageShown=!1,t._playerObject,t._isContentStarted=!1,t._status=null,t._savedBackgroundImage=null,t._widgetFrameworkId=n.vxpGlobal.players[t._playerId].loadContext.widgetFrameworkId,t._groupId=r.groupId(),t.isSeekSupported=function(){return!0},t.isShareSupported=function(){return!0},t.isContinuousPlaySupported=function(){return!0},t.isVideoPlayingEventSupported=function(){return!0},t.getStatus=function(){var n=MsnVideo.getProperties({type:\"playbackStatus\",targetId:t._widgetFrameworkId})[0];return n?n.param.status:null},t.currentVideo=function(){var n=MsnVideo.getProperties({type:\"currentVideo\",targetId:t._widgetFrameworkId})[0];return n?n.param.video:null},t.getAdPosition=function(){var n=MsnVideo.getProperties({type:\"currentAdPosition\",targetId:t._widgetFrameworkId})[0];return n?n.param:null},t.getPosition=function(){var n=MsnVideo.getProperties({type:\"currentVideoPosition\",targetId:t._widgetFrameworkId})[0];return n?n.param.position:0},t.getPositionEx=function(){var n=MsnVideo.getProperties({type:\"currentVideoPosition\",targetId:t._widgetFrameworkId})[0];return n?n.param:null},t.setPosition=function(n){MsnVideo.sendMessage({type:\"seekVideo\",param:{position:n},targetId:t._widgetFrameworkId})},t.getVolume=function(){var n=MsnVideo.getProperties({type:\"volume\",targetId:t._widgetFrameworkId})[0],i=n?n.param.volume:.5,r=n?n.param.mute:!1;return{volume:i,mute:r}},t.setVolume=function(n,i){MsnVideo.sendMessage({type:\"SetVolume\",param:{volume:n,mute:i},targetId:t._widgetFrameworkId})},t.setAdPolicy=function(n){MsnVideo.sendMessage({type:\"setAdvertisingOptions\",param:n,targetId:t._widgetFrameworkId})},t.isAdPlaying=function(){return t._isAdPlaying},t.isContentStarted=function(){return t._isContentStarted},t.pauseVideo=function(){MsnVideo.sendMessage({type:\"PauseVideo\",targetId:t._widgetFrameworkId})},t.playVideo=function(n,i,r){n?(MsnVideo.sendMessage({type:\"LoadVideo\",param:{uuid:n},targetId:t._widgetFrameworkId}),MsnVideo.sendMessage({type:\"PlayVideo\",param:{reportingSource:i,playSource:r},targetId:t._widgetFrameworkId})):MsnVideo.sendMessage({type:\"PlayVideo\",param:{reportingSource:i,playSource:r},targetId:t._widgetFrameworkId})},t.openSharePane=function(){t._shareIsOpen=!0,MsnVideo.sendMessage({type:\"OpenPane\",param:{paneType:\"share\"},targetId:t._widgetFrameworkId})},t.closeSharePane=function(){t._shareIsOpen=!1,MsnVideo.sendMessage({type:\"ClosePane\",param:{paneType:\"share\"},targetId:t._widgetFrameworkId})},t.toggleSharePane=function(){t._shareIsOpen?t.closeSharePane():t.openSharePane()},t.resize=function(){},t.dispose=function(){MsnVideo.sendMessage({type:\"QueSavePlaylist\",targetId:t._widgetFrameworkId})};var s=function(i){i.sourceId!=t._widgetFrameworkId&&i.widgetId!=t._widgetFrameworkId&&(i.sourceId||i.widgetId)||(i.param.paneType.toLowerCase()==\"endslate\"?n(r).fireEvent(\"contentComplete\"):n(r).fireEvent(\"paneOpened\",i.param.paneType))},h=function(n){n.sourceId!=t._widgetFrameworkId&&n.widgetId!=t._widgetFrameworkId&&(n.sourceId||n.widgetId)||(n.param.id.toLowerCase()==\"player1000x650ad\"||n.param.id.toLowerCase()==\"player1380x650ad\")&&e(n.param.id.toLowerCase())},c=function(i){i.sourceId!=t._widgetFrameworkId&&i.widgetId!=t._widgetFrameworkId&&(i.sourceId||i.widgetId)||(t._status=i.param.status,i.param.status==\"videoPlaying\"?(o(),t._isContentStarted=!0,n(r).fireEvent(\"videoPlaying\")):i.param.status==\"videoOpening\"?n(r).fireEvent(\"videoOpening\"):i.param.status==\"adPlaying\"?(t._isContentStarted=!0,t._isAdPlaying=!0,n(r).fireEvent(\"adPlaying\")):i.param.status==\"adOpening\"?n(r).fireEvent(\"adOpening\"):i.param.status==\"adPlayCompleted\"?(o(),n(r).fireEvent(\"adComplete\")):i.param.status==\"adPlayFailed\"?t._isContentStarted=!0:i.param.status==\"videoPlayFailed\"?t._isContentStarted=!0:i.param.status==\"playbackCompleted\"&&l(),i.param.status!=\"playbackCompleted\"&&i.param.status!=\"videoPlayCompleted\"&&i.param.status!=\"videoPaused\"&&n.fireEvent(\"CountdownCancelRequest\",!0))},e=function(){setTimeout(function(){var o=n(\"#player1000x650ad\"),s=n(\"#player1380x650ad\"),i,h=!1,r,u,a,v,y,e;if(s.html()&&!n.frontDoorMode)i=s,o.hide(),s.show(),h=!0,i.parent().addClass(\"vxp_ad1380\");else if(o.html())i=o,s.hide(),o.show(),i.parent().removeClass(\"vxp_ad1380\");else return;i.css(\"zoom\",null),i.css(\"zoom\",\"1\");if(i.length>0&&i.width()>10){u=i.parents(\".vxp_videoModule\");if(u.length>0){var c=u.innerWidth(),p=u.width(),f=h?1380:u.getConfig(\"BrandedPlayerSkinWidth\"),l=i.parent();f==0&&(f=c),l.width(f),a=(c-f)/2,l.css(\"left\",a+\"px\"),v=(f-(h?1380:1e3))/2,i.css(\"left\",v+\"px\"),y=(p-640)/2,e=u.find(\"div.vxp_playerContainer\"),e.css(\"left\",y+\"px\"),t._lastPlayerWidth==0&&t._lastPlayerHeight==0&&(r=e.find(\"div.vxp_player object\"),r.length||(r=e.find(\"div.vxp_player video\")),t._lastPlayerWidth=r.width(),t._lastPlayerHeight=r.height()),u.find(\"div.vxp_moduleContainer\").addClass(\"vxp_playerAdCenter\"),e.find(\"div.vxp_player .vxp_richEmbedContainer, div.vxp_player object\").width(640).height(360),t.resize(),u.find(\"div.vxp_infoPaneContainer\").width(640).show()}else t._lastPlayerWidth==0&&t._lastPlayerHeight==0&&(r=n(\"div.vxp_player object\"),r.length||(r=n(\"div.vxp_player video\")),t._lastPlayerWidth=r.width(),t._lastPlayerHeight=r.height()),n(\"#\"+t._playerId).parents(\".vxpMultiLitePlayer\").find(\"div.vxpMultiLiteInfoPane\").show(),n(\"div.vxp_rightRailLayoutContent\").addClass(\"vxp_playerAdCenter\");t._adImageShown=!0}setTimeout(function(){n(\"#\"+t._playerId).find(\"div.vxp_richEmbedContainer, div.vxp_player object\").width(640).height(360)},100)},0)},l=function(){var i=n(\"body\");i.removeClass(\"vxp_pant_legs\"),t._savedBackgroundImage&&i.css(\"background-image\",t._savedBackgroundImage),t._savedBackgroundImage=null},o=function(){var i,r,e,f,o,u;t._isAdPlaying=!1,i=n(\"#player1000x650ad\"),i.html(\"\"),i.hide(),i=n(\"#player1380x650ad\"),i.html(\"\"),i.hide(),t._adImageShown&&(r=i.parents(\".vxp_videoModule\"),r.length>0?(r.find(\"div.vxp_moduleContainer\").removeClass(\"vxp_playerAdCenter\"),r.find(\"div.vxp_playerContainer\").css(\"left\",\"0px\"),r.find(\"div.vxp_player .vxp_richEmbedContainer, div.vxp_player OBJECT\").width(t._lastPlayerWidth).height(t._lastPlayerHeight),t.resize(),t._lastPlayerWidth=t._lastPlayerHeight=0,r.find(\"div.vxp_infoPaneContainer, div.vxpMultiLiteInfoPane\").hide()):(n(\"div.vxp_rightRailLayoutContent\").removeClass(\"vxp_playerAdCenter\"),n(\"#\"+t._playerId).find(\"div.vxp_richEmbedContainer, div.vxp_player object\").width(t._lastPlayerWidth).height(t._lastPlayerHeight),t.resize(),n(\"#\"+t._playerId).parents(\".vxpMultiLitePlayer\").find(\"div.vxpMultiLiteInfoPane\").hide())),e=n(\"#player1380x1024ad_temp\"),f=e.find(\"img\"),f.length>0&&(o=f.attr(\"src\"),u=n(\"body\"),t._savedBackgroundImage||(t._savedBackgroundImage=u.css(\"background-image\")),u.css(\"background-image\",\"url('\"+o+\"')\"),u.addClass(\"vxp_pant_legs\")),$(\".vxp_destinationPage\").addClass(\"vxp_bg\"),t._adImageShown=!1},f=function(n,i){MsnVideo.addMessageReceiver({eventType:n,widgetId:t._widgetFrameworkId,funcCb:function(r){i&&i(r),MsnVideo.sendMessage({type:n,targetGroup:t._groupId,param:r})}})},u=function(n){MsnVideo.addMessageReceiver({eventType:n,widgetGroup:t._groupId,funcCb:function(i){MsnVideo.sendMessage({type:n,targetId:t._widgetFrameworkId,param:i})}})},i=function(n){MsnVideo.addPropertyProvider({propertyType:n,widgetGroup:t._groupId,funcCb:function(){return MsnVideo.getProperties({type:n,targetId:t._widgetFrameworkId})}})};MsnVideo.addMessageReceiver({eventType:\"debug\",widgetId:t._widgetFrameworkId,funcCb:function(t){n(r).fireEvent(\"debug\",t.param)}}),f(\"widgetLoaded\"),f(\"currentVideoChanged\"),f(\"currentVideoDataUpdated\"),f(\"playlistCompleted\"),f(\"playbackStatusChanged\",c),f(\"companionAdRendered\",h),f(\"paneOpened\",s),u(\"playVideo\"),u(\"pauseVideo\"),u(\"seekVideo\"),u(\"stopVideo\"),u(\"setVolume\"),u(\"openPane\"),u(\"closePane\"),u(\"setCompanionAds\"),u(\"setAdvertisingOptions\"),i(\"widgetType\"),i(\"isFullScreen\"),i(\"currentVideo\"),i(\"currentVideoPosition\"),i(\"currentAdPosition\"),i(\"playbackStatus\"),i(\"volume\"),i(\"Playlist.GetCurrentIndex\"),i(\"Playlist.GetCount\"),i(\"Playlist.GetVideo\"),t.init=function(){t._playerObject=t._player.find(\"object\");var n=MsnVideo.getProperties({type:\"playbackStatus\"})[0],i=n&&n.param&&n.param.status?n.param.status.toLowerCase():null;(i==\"adopening\"||i==\"adplaying\"||i==\"adpaused\")&&(t._isAdPlaying=!0,e())},t.init()},i=function(n){MsnVideo&&MsnVideo.removeAllReceivers&&MsnVideo.removeAllReceivers(n._widgetFrameworkId)};n.vxpGlobal.adapters[\"msn:flash\"]=function(n){this._player=n,t(this),this.dispose=function(){try{this._playerObject[0].queSavePlaylist(null)}catch(n){}this._playerObject=null,i(this)}},n.vxpGlobal.adapters[\"msn:silverlight\"]=function(n){this._player=n,t(this),this.dispose=function(){i(this)}},n.vxpGlobal.adapters[\"msn:html5\"]=function(r){var u=n(r).find(\".video_player\");this._player=r,t(this),this.dispose=function(){i(this),u.trigger(\"OnDispose\")},this.resize=function(){u.trigger(\"OnResize\")},MsnVideo.addMessageReceiver({eventType:\"onFullscreenEnter\",widgetId:this._widgetFrameworkId,funcCb:function(){n.frontDoorMode?(n(\"#sw_hdr\").hide(),n(\"#sw_abar\").hide(),n(\".vxp_navigation\").hide(),n(\"#PB_Badge\").hide()):(n(\".header\").hide(),n(\".footer\").hide())}}),MsnVideo.addMessageReceiver({eventType:\"onFullscreenExit\",widgetId:this._widgetFrameworkId,funcCb:function(){n.frontDoorMode?(n(\"#sw_hdr\").show(),n(\"#sw_abar\").show(),n(\".vxp_navigation\").show(),n(\"#PB_Badge\").show()):(n(\".header\").show(),n(\".footer\").show())}}),u.bind(\"ContentNext\",function(){n.fireEvent(\"CountdownSkipRequest\")})}})($vxp);(function(n){n.vxpGlobal.adapters.mtv=function(){this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!1},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getPositionEx=function(){},this.getVolume=function(){return null},this.setVolume=function(){},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){}}})($vxp);$vxp.registerWidget(\"player\",function(n){function bt(n){var t=-1;return n&&(t=parseFloat(n)),t}function a(){var n=\"qs\",t=$vxp.cookie(\"vidap\")||$vxp.vxpGlobal.vidap,r,i,u;return $vxp.cookie(\"vidap\",null),$vxp.vxpGlobal.vidap=t,r=$vxp.cookie(\"vidref\"),t==\"user\"?n=\"add\":t==\"editor\"?n=\"auto\":t==\"click\"?n=\"pb\":(i=document.referrer,u=document.location.hostname,i&&i!=\"\"?i.indexOf(u)>=0&&(n=\"pb\"):r&&r.indexOf(u)>=0&&(n=\"pb\")),n}function b(){return vt==\"Small\"?\"544x306\":\"800x450\"}function dt(n){var t=n;o.appendChild(document.createTextNode(\"[\"+(new Date).toLocaleString()+\"] \"+t)),o.appendChild(document.createElement(\"BR\")),o.scrollTop=o.scrollHeight-o.clientHeight}function st(){f||(f=$vxp(\"li.vxp_playlist_next .vxp_playlist_next_text\"),s=f.html()),y?setTimeout(st,1e3):(h=parseInt($vxp(n).getConfig(\"CountdownTime\")),p(),nt(),h>=0&&(c=setInterval(nt,1e3)))}function nt(){f&&f.html(s+\": \"+h);var n=$vxp(\".vxp_videoQueue\").find(\".vxp_removeFromQueueButton\");n.length==1&&n.removeClass(\"active\");if(!y){if(h<=0){it();return}h--}}function at(n){y=n}function p(){c&&(clearInterval(c),c=0)}function ct(){p(),f&&s&&f.html(s)}function it(){f.html(s),f=null,p(),$vxp.fireEvent(\"countdownComplete\")}function rt(){var n=window.sa_config;typeof n==\"object\"?n.m=3:setTimeout(rt,1e3)}var i=$vxp(n).getConfigs(),gt=i.plugin,t=i.playertype,yt=i.datasource,vt=i.playersize,wt=i.videocontentsource,e=i.videoid,ni=i.playervideoid,ut=i.autoplayvideo,ti=i.reportingtype,kt=i.reportingfr,pt=i.leadwithimage,ri=i.isrefresh,ii=!0,et=!1,l=null,o=null,u=$vxp(n).attr(\"id\"),k=$vxp.getPlaySource(),r,v,d,g,w;$vxp.vxpClearFind(\"div.vxp_player\"),$vxp.vxpGlobal.playerVideoId=e,v=$vxp.cookie(\"vidlastid\"),v&&v==e&&(l=bt($vxp.cookie(\"vidlastpos\"))),setTimeout(function(){$vxp.cookie(\"vidlastid\",null),$vxp.cookie(\"vidlastpos\",null),$vxp.cookie(\"vidps\",null)},1e3),t==\"Msn\"&&document.location.href.toString().indexOf(\"debug=true\")!=-1&&(d=$vxp(\"<div class='debugPanel'></div>\"),$vxp(\".uXPage\").append(d),o=d[0]),$vxp(n).subscribeEvent(\"contentComplete\",function(){$vxp(n).parents(\".vxp_videoModule\").length>0&&st()},$vxp(n)),$vxp(n).subscribeEvent(\"videoPlaying\",function(){if(l>0){var n=l;setTimeout(function(){},0),l=-1}},$vxp(n)),o&&$vxp(n).subscribeEvent(\"debug\",function(n){dt(n)},$vxp(n)),g=function(){var n=function(){var d,n;if(!et){et=!0,$vxp.cookie(\"vxpSpPingUrl\",null),$vxp.cookie(\"vxpSpClickId\",null);if(t!=\"Msn\"){var h=yt==\"Msn\"?e:\"d7ca5ba2-2d45-4629-9718-cdd106857354\",f=$vxp.getPageWidget().getConfig(\"VideoCatalogUrl\"),c=f+\"/usage.aspx?callbackName=?\",l=f+\"/frauddetect.aspx?callbackName=?\",v=b(),y=document.body.offsetWidth+\"x\"+$vxp(window).height(),o=$vxp.getPageWidget().getConfig(\"Market\"),i=k,r=$vxp.qsp(\"from\");r==null&&(r=\"\"),i==null&&(i=\"\");var p=$vxp.getPageWidget().getConfig(\"FlightId\"),w=$vxp.getFlightId(p),s={u:h,t:\"1\",plt:t,fr:kt||o,from:r,flight:w,src:i,c8:t,c9:\"v5Pl\",pl:document.location.href,rl:document.referrer,pbStatus:\"VideoBuffering\",av:4,brs:y,mkt:o,pv:\"3rd-party\",size:v};$vxp.getJSON(c,s,function(){}),$vxp.getJSON(l,s,function(){})}t=$vxp.vxpGlobal.players[u].type,d=!0,n=\"msnv:sl3\",t==\"msn:flash\"&&(n=\"msnv:fl\"),t==\"msn:html5\"&&(n=\"msnv:html5\"),t!=\"Msn\"&&(n=t.toLowerCase()),t==\"Bing\"&&(n=n+\":\"+wt),$vxp.vxpFind(\"div.vxp_videoModule .vxp_widgetMode\").length==0?$vxp.reportPageView({cn:\"msn video^\"+b(),pt:n,prop4:null,prop7:\"watch\",prop11:a()}):$vxp.reportPageView({cn:\"msn video^\"+b(),pt:ut?n:\"browse\",prop4:null,prop7:\"dest hub\",prop11:ut?a():null,prop28:document.location.href.toString()})}};$vxp.pageIsReady?n():$vxp.subscribeEvent(\"pageReady\",n,\"player1\")},$vxp.subscribeEvent(\"pageReady\",function(){t==\"Msn\"||$vxp.hasFlash(9)?t!=\"MaximumTV\"||$vxp.hasSilverlight(4)||($vxp.reportPageView({pt:null,prop4:\"slinstaller\",prop11:a()}),$vxp(\".vxp_playerControls_button.vxp_playerControls_dim\").addClass(\"vxp_playerControls_disabled\")):($vxp.reportPageView({pt:null,prop4:\"flinstaller\",prop11:a()}),$vxp(\".vxp_playerControls_button.vxp_playerControls_dim\").addClass(\"vxp_playerControls_disabled\"))},\"player2\"),w=function(){if(r){r.dispose();var n=r.getPosition();e&&n&&($vxp.cookie(\"vidlastid\",e),$vxp.cookie(\"vidlastpos\",n))}},window.addEventListener?window.addEventListener(\"unload\",w,!1):window.attachEvent(\"onunload\",w);var h=0,c=0,y=!1,s,f;$vxp(n).subscribeEvent(\"CountdownPauseRequest\",function(n){at(n)}),$vxp(n).subscribeEvent(\"CountdownCancelRequest\",function(){ct()}),$vxp(n).subscribeEvent(\"CountdownSkipRequest\",function(){it()}),$vxp.frontDoorMode&&rt();var ft=function(){var n,t;r&&$vxp.vxpGlobal.players[u].type!=\"msn:html5\"&&(n=r.currentVideo(),n&&(t=n.uuid,t!=e&&$vxp.fireEvent(\"playVideo\",{id:t,metadata:{supportedPlayers:\"MsnFlash,MsnSilverlight,MsnHtml5\",source:\"player\"}})))},ht=function(n,t){if(!pt&&t.indexOf(\"msn\")!=-1&&r&&r.playVideo){if(t==\"msnsilverlight\"&&n.indexOf(t)!=-1)return!0;if(t==\"msnflash\"&&n.indexOf(t)!=-1)return!0;if(t==\"msnhtml5\"&&n.indexOf(t)!=-1)return!0}return!1},lt=function(i,u){var o,s,f;e=i,$vxp.vxpGlobal.playerVideoId=i,o=t.toLowerCase().replace(\":\",\"\"),u&&u.playerSource&&(k=u.playerSource),u&&u.supportedPlayers&&(s=u.supportedPlayers.toLowerCase()),$vxp.getPageWidget().setConfig(\"VideoId\",i),$vxp(n).setConfig(\"VideoId\",i),ht(s,o.toLowerCase())?u&&u.source==\"player\"||r.playVideo(i,$vxp.getPlayType(),k):(f=$vxp(n),f.height(f.height()),f.css(\"visibility\",\"hidden\"),f.find(\"iframe\").attr(\"src\",\"\"),setTimeout(function(){r&&r.dispose(),f[0].innerHTML=\"\",f.setConfig(\"AutoPlayVideo\",\"true\"),f.setConfig(\"RenderHtmlAsAttribute\",\"false\"),f.widgetRefresh(function(){$vxp.vxpClearFind(\"div.vxp_player\"),f.css(\"height\",\"auto\")})},0)),$vxp(\"#player1380x1024ad\").hide(),$vxp(\"#player1000x650ad\").html(\"\")},ot=function(){var t=$vxp.vxpGlobal.players[u].type;r=new $vxp.vxpGlobal.adapters[t]($vxp(n)),$vxp.vxpGlobal.players[u].adapter=r,$vxp.vxpGlobal.players[u].isReady=!0,g(),$vxp(n).fireEvent(\"playerReady\",u)},tt=function(t){var i=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/videodata/?callback=?\";$vxp.getJSON(i,{responseEncoding:\"json\",ids:t,detailed:\"true\",v:\"2\"},function(t){t&&t.videos&&t.videos.length==1&&($vxp(n).data(\"videoMetadata\",t.videos[0]),$vxp(n).fireEvent(\"videoChanged\",t.videos[0]))})};$vxp.vxpGlobal.players[u]&&$vxp.vxpGlobal.players[u].loadState==\"loaded\"?ot():$vxp(n).subscribeEvent(\"playerObjectReady\",ot,$vxp(n)),$vxp(n).subscribeEvent(\"videoOpening\",ft,$vxp(n)),$vxp(n).subscribeEvent(\"adOpening\",ft,$vxp(n)),$vxp(n).subscribeEvent(\"playVideoInternal\",function(n){lt(n.id,n.metadata),tt(n.id)}),$vxp(n).registerDispose(function(){var t,i;$vxp.vxpGlobal.players[u]&&($vxp.vxpGlobal.players[u].adapter&&$vxp.vxpGlobal.players[u].adapter.dispose(),delete $vxp.vxpGlobal.players[u]),t=$vxp(n).find(\"object\");if(t.length>0){t=t[0];try{for(i in t)typeof t[i]==\"function\"&&(t[i]=null)}catch(r){}}t=null}),tt(e)});(function(n){n.vxpGlobal.adapters.vevo=function(){this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!1},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.getPositionEx=function(){},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getVolume=function(){return null},this.setVolume=function(){},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){}}})($vxp);(function(n){n.vxpGlobal.adapters.youtube=function(t){var i=window[\"ytp_\"+t.attr(\"id\")];this.isSeekSupported=function(){return!1},this.isShareSupported=function(){return!1},this.isContinuousPlaySupported=function(){return!1},this.isVideoPlayingEventSupported=function(){return!1},this.isAdPlaying=function(){return!1},this.getPosition=function(){return 0},this.getPositionEx=function(){},this.setPosition=function(){},this.getAdPosition=function(){return 0},this.getAdPosition=function(){return 0},this.getVolume=function(){if(i.getVolume){var n=i.getVolume()/100,t=i.isMuted();return{volume:n,mute:t}}return{volume:0,mute:!1}},this.setVolume=function(n,t){i.setVolume&&(i.setVolume(Math.floor(n*100)),t?i.mute():i.unMute())},this.pauseVideo=function(){},this.playVideo=function(){},this.resize=function(){},this.dispose=function(){i=null},window.youTubeAdapterOnStateChange=function(i){i.data==0?n(t).fireEvent(\"contentComplete\"):i.data==1&&n.fireEvent(\"CountdownCancelRequest\",!0)},i&&i.addEventListener&&i.addEventListener(\"onStateChange\",youTubeAdapterOnStateChange)}})($vxp);$vxp.registerWidget(\"infoPane\",function(n){var t=$vxp(n).find(\".description\"),i=$vxp(n).parents(\".vxp_scrollable\"),r=parseInt(t.css(\"max-height\"));t.css(\"max-height\",\"1000px\"),$vxp.updateScrolling($vxp(n))});$vxp.registerWidget(\"playerControls\",function(n){function c(n,t){try{var e=n.videoid,o=$vxp.getPageWidget().getConfig(\"VideoCatalogUrl\"),s=o+\"/frauddetect.aspx?callbackName=?\",h=n.playersize==\"Small\"?\"544x306\":\"800x450\",c=document.body.offsetWidth+\"x\"+$vxp(window).height(),f=$vxp.getPageWidget().getConfig(\"Market\"),r=$vxp.getPlaySource(),u=$vxp.qsp(\"from\");u==null&&(u=\"\"),r==null&&(r=\"\");var l=$vxp.getPageWidget().getConfig(\"FlightId\"),a=$vxp.getFlightId(l),v={u:e,t:\"4\",plt:n.playertype,fr:n.reportingfr||f,from:u,flight:a,src:r,c8:n.playertype,c9:\"MP\",pl:document.location.href,rl:document.referrer,pbStatus:\"\",av:4,brs:c,ng:t,mkt:f,pv:\"\",size:h};$vxp.getJSON(s,v,function(){})}catch(y){}}function l(){var t=$vxp(\".vxp_videoModule .vxpMultiplayerAd\"),i,n,c,s,a,h,v;i=t.offset().left,n=t.offset().top,c=t.width(),s=t.height(),a=Math.max($vxp(window).height(),$vxp(\".uXPage\").height()),h=Math.max($vxp(window).width(),$vxp(\".uXPage\").width()),v=Math.max(0,h-(i+c)),r.css(\"width\",h+\"px\").css(\"height\",n+\"px\"),f.css(\"width\",h+\"px\").css(\"height\",a+\"px\").css(\"top\",n+s+\"px\"),e.css(\"width\",i+\"px\").css(\"height\",s+\"px\").css(\"top\",n+\"px\"),o.css(\"width\",v+\"px\").css(\"height\",s+\"px\").css(\"top\",n+\"px\").css(\"left\",i+c+\"px\"),u=setTimeout(l,1e3)}var r,f,e,o,u,t=$vxp.vxpFind(\"div.vxp_player\"),i,a=$vxp(n).find(\".vxp_playerControls_facebook .vxp_playerControls_facebookLike\"),h,s;$vxp(a).each(function(){var t=$vxp(this).attr(\"href\"),i=$vxp(this).attr(\"colorscheme\"),r=$vxp(this).attr(\"layout\"),u=$vxp(this).attr(\"show_faces\"),f=$vxp(this).attr(\"font\"),e=$vxp(this).attr(\"send\"),o=document.location.protocol+\"//\"+document.location.host+document.location.pathname,n;t=t.replace(\"<URL>\",encodeURI(o)),n=\"<fb:like href='\"+t+\"' colorscheme='\"+i+\"' layout='\"+r+\"' show_faces='\"+u+\"' font='\"+f+\"' \",e==\"true\"&&(n=n+\" send='true' \"),n=n+\"></fb:like>\",$vxp(this).html(n),setTimeout(function(){try{FB.XFBML.parse()}catch(n){}},1500)}),h=$vxp(n).find(\".vxp_playerControls_facebook .vxp_playerControls_facebookSend\"),$vxp(h).each(function(){var n=$vxp(this).attr(\"href\"),i=$vxp(this).attr(\"colorscheme\"),r=$vxp(this).attr(\"layout\"),u=$vxp(this).attr(\"show_faces\"),f=$vxp(this).attr(\"font\"),e=document.location.protocol+\"//\"+document.location.host+document.location.pathname,t;n=n.replace(\"<URL>\",encodeURI(e)),t=\"<fb:send href='\"+n+\"' colorscheme='\"+i+\"' layout='\"+r+\"' show_faces='\"+u+\"' font='\"+f+\"' ></fb:send>\",$vxp(this).html(\"\").html(t);try{FB.XFBML.parse()}catch(o){}});try{$vxp(\".vxp_fbsubscribe_mp\").length<1&&(FB.Event.subscribe(\"edge.create\",function(n){var t=$vxp(\".vxp_player\").getConfigs();c(t,0,n)}),FB.Event.subscribe(\"edge.remove\",function(n){var t=$vxp(\".vxp_player\").getConfigs();c(t,1,n)}),$vxp(\"body\").append(\"<div class='vxp_fbsubscribe_mp'></div>\"))}catch(v){}$vxp(n).find(\".vxp_playerControls_button\").hover(function(){$vxp(this).addClass(\"vxp_playerControls_hover\")},function(){$vxp(this).removeClass(\"vxp_playerControls_hover\")}),$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_share\").click(function(){$vxp(this).hasClass(\"vxp_playerControls_disabled\")||i.adapter.toggleSharePane()}),$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_dim\").click(function(){$vxp(this).hasClass(\"vxp_playerControls_disabled\")||$vxp.dimLights(800,!0)}),$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_size\").click(function(){var u=t.getConfig(\"PlayerType\"),f=t.getConfig(\"VideoContentSource\"),e=t.getConfig(\"VideoId\"),r=$vxp.VideoModule.getSize()==\"Small\",i;if((u==\"Bing\"||u==\"BingExternal\")&&f!=\"YouTube\"&&f!=\"Dailymotion\")return i=document.location.href.toString(),i.indexOf(\"/watch/\")==-1&&(i=$vxp.setUrlParam(i,\"videoId\",e)),i=$vxp.setUrlParam(i,\"PlayerSize\",r?\"Large\":\"Small\"),window.location=i,!1;r?($vxp(n).find(\".vxp_playerControls_size .vxp_videomodule_small\").show(),$vxp(n).find(\".vxp_playerControls_size .vxp_videomodule_large\").hide()):($vxp(n).find(\".vxp_playerControls_size .vxp_videomodule_small\").hide(),$vxp(n).find(\".vxp_playerControls_size .vxp_videomodule_large\").show()),$vxp.VideoModule.setSize(r?\"Large\":\"Small\"),$vxp.VideoModule.updateLayout(),$vxp.reportClick({click:r?\"large\":\"small\",prop13:\"playerResize\",rf:\"\"})}),setTimeout(function(){var r=t.getConfig(\"PlayerType\");r==\"Bing\"&&navigator.userAgent.indexOf(\"Mac\")==-1&&i.type!=\"msn:html5\"&&i.type!=\"dailymotion\"&&$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_dim\").removeClass(\"vxp_playerControls_disabled\")},0),$vxp.raiseLights=function(){u&&(clearTimeout(u),u=null),$vxp(\".dimBg\").hide()},$vxp.dimLights=function(n,t){if(!r){var i=$vxp('<div class=\"dimBg\"></div>');r=i.clone(),f=i.clone(),e=i.clone(),o=i.clone(),$vxp(document.body).append(r).append(f).append(e).append(o),t&&$vxp(\".dimBg\").click(function(){$vxp.raiseLights()})}$vxp(\".dimBg\").css(\"opacity\",0).show().animate({opacity:$vxp.frontDoorMode?.8:.7},n),l()},s=function(){t=$vxp.vxpFind(\"div.vxp_player\"),i=$vxp.vxpGlobal.players[t.attr(\"id\")],i.adapter&&i.adapter.isShareSupported()?$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_share\").removeClass(\"vxp_playerControls_disabled\"):$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_share\").addClass(\"vxp_playerControls_disabled\"),navigator.userAgent.indexOf(\"Mac\")==-1&&i.type!=\"msn:html5\"&&i.type!=\"dailymotion\"&&$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_dim\").removeClass(\"vxp_playerControls_disabled\"),$vxp(\".vxp_player .vxp_externalVideo\").length>0?$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_size\").hide():$vxp(n).find(\".vxp_playerControls_button.vxp_playerControls_size\").show()},$vxp.vxpGlobal.players[t.attr(\"id\")]&&$vxp.vxpGlobal.players[t.attr(\"id\")].loadState==\"loaded\"&&s(),$vxp(n).subscribeEvent(\"playerReady\",function(){s()})});$vxp.registerWidget(\"playerRow\",function(){});$vxp.registerWidget(\"videoModule\",function(n){var u=$vxp.getPageWidget().getConfig(\"VideoId\"),i=$vxp(n).getConfig(\"BannerAdHtml\"),t=$vxp.vxpFind(\"div.vxp_player\").attr(\"id\"),r=function(t,r){$vxp.vxpGlobal.vidap=r.source,$vxp(n).fireEvent(\"playVideoInternal\",{id:t,metadata:r}),$vxp(n).find(\".vxpMultiplayerAd\").html(i);var u=$vxp.VideoModule.getSize();$vxp(n).find(\".vxp_playerControls\").setConfig(\"PlayerSize\",u),$vxp(n).find(\".vxp_playerControls\").setConfig(\"VideoId\",t),$vxp(n).find(\".vxp_playerControls\").widgetRefresh(),$vxp(n).find(\".vxp_infoPane\").setConfig(\"VideoId\",t),$vxp(n).find(\".vxp_infoPane\").widgetRefresh(function(){$vxp.updateScrolling($vxp(n).find(\".vxp_infoPane .vxp_scrollable\"))}),r.source==\"click\"&&($vxp(n).scrollTo(),$vxp(n).find(\".vxp_videoQueue\").setConfig(\"VideoId\",t),$vxp(n).find(\".vxp_videoQueue\").setConfig(\"SmartPoolTargetingKey\",\"\"),$vxp(n).find(\".vxp_videoQueue\").widgetRefresh(function(){$vxp.updateScrolling($vxp(n).find(\".vxp_videoQueue .vxp_scrollable\"))}))};$vxp(n).subscribeEvent(\"playerReady\",function(){$vxp.VideoModule.updateLayout()}),$vxp(n).subscribeEvent(\"playVideo\",function(n){r(n.id,n.metadata)}),$vxp.VideoModule={},$vxp.VideoModule.getSize=function(){return $vxp.vxpFind(\"div.vxp_player\").getConfig(\"PlayerSize\")},$vxp.VideoModule.setSize=function(t){$vxp.vxpFind(\"div.vxp_player\").setConfig(\"PlayerSize\",t),$vxp(n).find(\".vxp_playerControls\").setConfig(\"PlayerSize\",t)},$vxp.VideoModule.updateLayout=function(i){function g(){$vxp.browser.msie&&parseInt($vxp.browser.version,10)<7&&($vxp(\".vxp_videoModule .vxp_leftPanel\").css(\"top\",o?\"1px\":\"-1px\"),$vxp(\".vxp_videoModule .vxpMultiplayerAd\").css(\"bottom\",o?\"29px\":\"31px\"),$vxp(\".watchPageGallery\").hide(),$vxp(\".watchPageGallery\").show()),i||$vxp.updateScrolling($vxp(\".vxp_videoQueue\").find(\".vxp_scrollable\")),$vxp.vxpGlobal.players[t]&&$vxp.vxpGlobal.players[t].adapter&&$vxp.vxpGlobal.players[t].adapter.resize&&$vxp.vxpGlobal.players[t].adapter.resize()}var u=$vxp(n).find(\".vxp_playerContainer\"),r=u.find(\"div.vxp_player\"),p=r.find(\".vxp_richEmbedContainer\"),h=r.getConfig(\"PlayerType\"),a=r.getConfig(\"VideoContentSource\"),nt=r.getConfig(\"VideoId\"),v=r.find(\"OBJECT, EMBED\"),c=$vxp(n).find(\".vxp_videoContainer\"),e=$vxp(n).find(\".vxp_videoQueueContainer\"),o=$vxp.VideoModule.getSize()==\"Small\",s=o?r.getConfig(\"SmallWidth\"):r.getConfig(\"LargeWidth\"),f=o?r.getConfig(\"SmallHeight\"):r.getConfig(\"LargeHeight\"),w=u.find(\".vxp_controlsContainer\").outerHeight(),d,y;o?($vxp(n).find(\".vxp_moduleContainer\").addClass(\"vxp_videomodule_small\"),$vxp(n).find(\".vxp_moduleContainer\").removeClass(\"vxp_videomodule_large\")):($vxp(n).find(\".vxp_moduleContainer\").addClass(\"vxp_videomodule_large\"),$vxp(n).find(\".vxp_moduleContainer\").removeClass(\"vxp_videomodule_small\")),$vxp.vxpGlobal.players[t]&&$vxp.vxpGlobal.players[t].type==\"msn:flash\"&&(f+=r.getConfig(\"FlashControlBarHeight\"));var b=u.width(),k=u.height(),l=b!=s||k!=f+w;(h==\"Bing\"||h==\"BingExternal\")&&a!=\"YouTube\"&&a!=\"Dailymotion\"||!l||(v.css(\"height\",f),v.css(\"width\",s),p.css(\"height\",f),p.css(\"width\",s),u[0].style.height!=\"auto\"&&u.css(\"height\",f+w),u.css(\"width\",s),u.find(\"iframe\").css(\"width\",s).css(\"height\",f)),o?(e.removeClass(\"vxp_videomodule_large\"),e.addClass(\"vxp_videomodule_small\")):(e.removeClass(\"vxp_videomodule_small\"),e.addClass(\"vxp_videomodule_large\")),d=$vxp.vxpFind(\"div.vxp_videoModule\").width()-u.outerWidth(!0),y=u.outerHeight(!0)-(e.outerHeight(!0)-e.height())-(c.outerHeight(!0)-c.height())-e.find(\".vxpVideoQueueHeader\").height(),c.css(\"height\",y),h==\"Hulu\"&&undefined!=window.NewSite&&l&&!i?NewSite.videoPlayerComponent.setSize(s,f):(h==\"Cbs\"||h==\"Mtv\"||h==\"Vevo\")&&l&&!i&&(r.setConfig(\"AutoPlayVideo\",\"true\"),r.setConfig(\"IsRefresh\",\"true\"),r.css(\"visibility\",\"hidden\"),setTimeout(function(){r[0].innerHTML=\"\",r.widgetRefresh(function(){$vxp.vxpClearFind(\"div.vxp_player\")})},0)),g()}});$vxp.registerWidget(\"multiplayerLite\",function(n){var t=$vxp(n).getConfigs(),e=t.playlistdata,o=t.countdowntime,u,kt=t.continuousplayenabled,vt=t.continuousplaysource,dt=t.playlistvideoid,d=t.playbackmode,k=t.multimediaviewer,nt=t.leadwithimagevideoid,ai=t.leadwithimagevideolink,it=t.leadwithimagelinkoff,li=t.rawplaylist,ci=t.useexternalad,hi=t.banneradhtml,ei=t.adtriggervideosplayed,ti=t.adtriggertimeplaying,gt=t.adsallowed,ii=t.adfullexperience,ri=t.adpartialexperience,ui=t.msnplayerleadswith,fi=t.displaymetadata,wi=t.videoid,pi=$vxp(n).attr(\"id\"),r=t.playlistindex,ut=t.banneraddivid,si=t.socialreader,ft=!1,bi,i=$vxp(n).find(\"div.vxp_player\"),ki,yi=!1,y,v=!1,f=!1,a=!1,l=window.navigator.userAgent.match(/MSIE\\s([\\d.]+)/),vi=l&&l.length>1&&l[1].indexOf(\"10\")==0,ni=window.navigator.userAgent.match(/iPad/i),et,c=function(){var n=oi();return n?n.adapter:null},oi=function(){return $vxp.vxpGlobal.players[i.attr(\"id\")]},st=function(n){y=n,g()},g=function(){var t=y,u=t.playerType.toLowerCase(),i;u==\"msn\"?(i=$vxp(n).find(\"div.vxp_player\").attr(\"id\"),$vxp(n).data(\"msnPlayerId\",i)):$vxp(n).data(\"msnPlayerId\",null),$vxp(n).data(\"playlistIndex\",r),$vxp(n).data(\"videoMetadata\",t),$vxp(n).trigger(\"videoChanged\",t)},tt=function(n){if($vxp.isNumber(n)&&e.list.item&&n>=0&&n<e.list.item.length){r=n;var t=e.list.item[n].video,i=t.id.$,u={selectedImgSrc:t.selectedImageSrc.$,dataIndex:n,supportedPlayers:t.playerAdapter.$};h(i,u)}},rt=function(){var n=$vxp(\"#\"+ut);n.html(hi)},ht=function(t){$vxp(n).fireEvent(\"updateActiveGalleryItem\",t.dataIndex,$vxp(n).find(\"div.vxpMultiLitePlaylist .vxp_pagedGallery\"))},h=function(t,i){$vxp(n).setConfig(\"PlaybackMode\",\"Inline\"),$vxp(n).setConfig(\"AutoPlayVideo\",\"True\"),$vxp(n).setConfig(\"VideoId\",t),i.playlist?($vxp(n).find(\"iframe\").attr(\"src\",\"\"),$vxp(n).setConfig(\"DefaultPlaylist\",i.playlist),$vxp(n).widgetRefresh()):($vxp(n).setConfig(\"PlaylistVideoId\",dt),i.dataIndex&&(r=i.dataIndex),rt(),$vxp(n).data(\"playerReady\",!1),$vxp(n).fireEvent(\"playVideoInternal\",{id:t,metadata:i},$vxp(n).find(\"div.vxp_player\")),lt(t),ht(i))},s=function(){clearTimeout(u),clearInterval(u),$vxp(n).find(\".vxp_playlist_countdown_text\").hide(),$vxp(n).fireEvent(\"countdownCancel\")},ct=function(){ft||ci||(ft=!0,et=setInterval(function(){var t=$vxp(\"#\"+ut),i;t.width()>10&&t.height()>=5&&(i=$vxp(n).find(\"div.vxpMultiLiteImagePlaceholder\"),i.length>0&&i.position()&&t.css(\"top\",$vxp(n).find(\"div.vxpMultiLiteImagePlaceholder\").position().top).parents(\".vxpMultiLiteInfo\").addClass(\"vxpMultiLiteAdEnabled\"))},100))},lt=function(t){var i=$vxp(n).find(\".vxp_multiplayerLiteInfoPane\");i.height(i.height()),i.setConfig(\"VideoId\",t),i.setConfig(\"InfoPaneExpanded\",!0),i.widgetRefresh(function(){i.css(\"height\",\"auto\")})},at=function(){fi&&$vxp(n).find(\".vxpMultiLiteExtraRow\").addClass(\"vxpMultiLiteExpandedInfo\"),$vxp(n).addClass(\"vxpMultiLiteExpandedInfo\")},w=function(){s(),at()},yt=function(){w()},pt=function(){w()},wt=function(){var s,f,t;o=i.getConfig(\"CountdownTime\"),vt.toLowerCase()==\"internal\"?(r++,r>=e.list.item.length&&(r=0),s=e.list.item[r].video.id.$,$vxp(n).setConfig(\"VideoId\",s),f=o,t=$vxp(n).find(\".vxpUpNextItem .vxp_playlist_countdown_text\"),t.html(f).show(),u=setInterval(function(){f--,f<=0?(clearInterval(u),t.hide(),tt(r)):t.html(f)},1e3)):($vxp(n).fireEvent(\"countdownStart\",o),t=o,u=setInterval(function(){t--,t==0&&($vxp(n).fireEvent(\"countdownComplete\"),clearInterval(u))},1e3))},bt=function(){var u=!1,r,o;$(\"meta\").each(function(n,t){var r=t.httpEquiv,i=$(t).attr(\"content\");r&&i&&(r=r.toLowerCase(),i=i.toLowerCase(),r==\"x-ua-compatible\"&&(i==\"ie=7\"||i==\"ie=8\")&&(u=!0))});var s=$vxp.hasSilverlight(4),f=$vxp.hasFlash(10),h=$vxp.hasHtml5();if(si&&it)r=document.location.href.replace(\"https://\",\"http://\"),r=$vxp.setUrlParam(r,\"social\",null),window.open(r,\"_blank\");else if(!it&&(d!=\"MultimediaViewer\"||f||ni||vi)&&(!l||!u||s||f||h))if(d==\"MultimediaViewer\"&&window.MsnVideoUx&&MsnVideoUx.launchOverlayPlayer){var e=k.widget.configId.$||k.widget.label.$,c=k.widget.csid.$,a=$vxp.getPageWidget().getConfig(\"hubDomain\"),i={DynamicInitialVideoId:nt,DynamicPlaylistQuery:li,DynamicModules:\"video\",DynamicMsnPlayerLeadsWith:ui,Preview:\"true\"};e==\"vxp_launch_empty\"&&(i.DynamicAdTriggerVideosPlayed=ei,i.DynamicAdTriggerTimePlaying=ti,i.DynamicAdsAllowed=gt,i.DynamicAdFullExperience=ii,i.DynamicAdPartialExperience=ri,i.DynamicHtml5UseHls=t.html5usehls,i.DynamicHtml5AdTriggerTimePlaying=t.html5adtriggertimeplaying,i.DynamicHtml5AdTriggerVideosPlayedNoAds=t.html5adtriggervideosplayednoads,i.DynamicHtml5AdPolicy=t.html5adpolicy,i.DynamicHtml5AdFullExperience=t.html5adfullexperience,i.DynamicHtml5AdEventTimeout=t.html5adeventtimeout,i.DynamicHtml5AdServiceUrl=t.html5adserviceurl,i.DynamicHtml5AdProxyServiceUrl=t.html5adproxyserviceurl,i.DynamicHtml5AdServiceType=t.html5adservicetype),o=$vxp.isHub?!0:!1,MsnVideoUx.launchOverlayPlayer(e,c,i,{hubDomain:a,loadCss:o},!1,!0)}else $vxp(n).setConfig(\"PlaybackMode\",\"Inline\"),$vxp(n).setConfig(\"AutoPlayVideo\",\"True\"),$vxp(n).setConfig(\"VideoId\",nt),$vxp(n).widgetRefresh();else window.location=ai},p=function(){v=!1,f=!0;var t=$vxp(n).find(\"div.vxpMultiLitePlaylist\");t.animate({opacity:0},500,null,function(){f=!1,t.css(\"display\",\"none\")})},ot=function(n,t){if(t)h(n,t);else{var i=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/videodata/?callback=?\";$vxp.getJSON(i,{responseEncoding:\"json\",ids:n,detailed:\"true\",v:\"2\"},function(t){if(t&&t.videos&&t.videos.length==1){var i={dataIndex:0,selectedImgSrc:t.videos[0].thumb,supportedPlayers:t.videos[0].playerAdapter};h(n,i)}})}},b;$vxp(n).subscribeEvent(\"videoPlaying\",yt,i),$vxp(n).subscribeEvent(\"adPlaying\",pt,i),$vxp(n).subscribeEvent(\"videoOpening\",s,i),$vxp(n).subscribeEvent(\"adOpening\",s,i),$vxp(n).subscribeEvent(\"paneOpened\",s,i),$vxp(n).subscribeEvent(\"videoChanged\",st,i),kt&&$vxp(n).subscribeEvent(\"contentComplete\",wt,i),$vxp(n).subscribeEvent(\"playerReady\",function(){i=$vxp(n).find(\"div.vxp_player\"),c()&&(!c().isVideoPlayingEventSupported()||c().isContentStarted())&&w(),$vxp(n).data(\"playerReady\",!0),$vxp(n).trigger(\"playerReady\",c())},i),$vxp(n).bind(\"playVideo\",function(n,t,i){ot(t,i)}),$vxp(n).bind(\"playVideoIndex\",function(n,t){tt(t)}),$vxp(n).subscribeEvent(\"playVideo\",function(n){h(n.id,n.metadata)}),MsnVideo2.addMessageReceiver({eventType:\"LoadVideo\",widgetGroup:$vxp(n).groupId(),funcCb:function(n){ot(n.param.uuid)}}),b=!1,$vxp(n).mouseover(function(t){b||(b=!0,d!=\"Inline\"&&i.find(\"div.vxp_richEmbedContainer\").click(bt),i.parent().hover(function(){$vxp(n).getConfig(\"PlaybackMode\")==\"Inline\"&&(a=!0,setTimeout(function(){if(a&&!f&&!v){f=!0,v=!0;var t=$vxp(n).find(\"div.vxpPlaylistOverVideo\");t.css(\"display\",\"block\").css(\"opacity\",0).animate({opacity:1},500,null,function(){f=!1})}},500))},function(n){a=!1,setTimeout(function(){if($vxp(n.relatedTarget||n.toElement).parents().andSelf().filter(\".vxpPlaylistOverVideo\").length>0)return;a||f||!v||p()},500)}),$vxp(n).find(\"div.vxpPlaylistOverVideo\").hover(function(){},function(){p()}),$vxp(n).find(\"div.vxpMultiLitePlaylistClose\").click(function(){p()}),$vxp(t.target).mouseover())}),ct(),rt(),!y&&i.data(\"videoMetadata\")&&(y=i.data(\"videoMetadata\"),g()),$vxp(n).registerDispose(function(){clearInterval(et)})});$vxp.registerWidget(\"multiplayerLiteInfoPane\",function(n){function r(n,t){try{var e=n.videoid,o=$vxp.getPageWidget().getConfig(\"VideoCatalogUrl\"),s=o+\"/frauddetect.aspx?callbackName=?\",h=n.playersize==\"Small\"?\"544x306\":\"800x450\",c=document.body.offsetWidth+\"x\"+$vxp(window).height(),f=$vxp.getPageWidget().getConfig(\"Market\"),r=$vxp.getPlaySource(),u=$vxp.qsp(\"from\");u==null&&(u=\"\"),r==null&&(r=\"\");var l=$vxp.getPageWidget().getConfig(\"FlightId\"),a=$vxp.getFlightId(l),v={u:e,t:\"4\",plt:n.playertype,fr:n.reportingfr||f,from:u,flight:a,src:r,c8:n.playertype,c9:\"MPL\",pl:document.location.href,rl:document.referrer,pbStatus:\"\",av:4,brs:c,ng:t,mkt:f,pv:\"\",size:h};$vxp.getJSON(s,v,function(){})}catch(y){}}var t,i;$vxp(n).find(\"span.vxpMultiLiteDescriptionMore\").click(function(){$vxp(n).find(\"span.vxpMultiLiteDescriptionFull\").show(),$vxp(n).find(\"span.vxpMultiLiteDescriptionPartial, span.vxpMultiLiteDescriptionMore\").hide()}),t=$vxp(n).find(\".vxp_playerControls_facebook .vxp_playerControls_facebookLike\"),$vxp(t).each(function(){var t=$vxp(this).attr(\"href\"),i=$vxp(this).attr(\"colorscheme\"),r=$vxp(this).attr(\"layout\"),u=$vxp(this).attr(\"show_faces\"),f=$vxp(this).attr(\"font\"),e=$vxp(this).attr(\"send\"),o=document.location.protocol+\"//\"+document.location.host+document.location.pathname,n;t=t.replace(\"<URL>\",encodeURI(o)),n=\"<fb:like href='\"+t+\"' colorscheme='\"+i+\"' layout='\"+r+\"' show_faces='\"+u+\"' font='\"+f+\"' \",e==\"true\"&&(n=n+\" send='true' \"),n=n+\"></fb:like>\",$vxp(this).html(\"\").html(n),setTimeout(function(){try{FB.XFBML.parse()}catch(n){}},1500)}),i=$vxp(n).find(\".vxp_playerControls_facebook .vxp_playerControls_facebookSend\"),$vxp(i).each(function(){var n=$vxp(this).attr(\"href\"),i=$vxp(this).attr(\"colorscheme\"),r=$vxp(this).attr(\"layout\"),u=$vxp(this).attr(\"show_faces\"),f=$vxp(this).attr(\"font\"),e=document.location.protocol+\"//\"+document.location.host+document.location.pathname,t;n=n.replace(\"<URL>\",encodeURI(e)),t=\"<fb:send href='\"+n+\"' colorscheme='\"+i+\"' layout='\"+r+\"' show_faces='\"+u+\"' font='\"+f+\"' ></fb:send>\",$vxp(this).html(\"\").html(t);try{FB.XFBML.parse()}catch(o){}});try{$vxp(\".vxp_fbsubscribe_mpl\").length<1&&(FB.Event.subscribe(\"edge.create\",function(n){var t=$vxp(\".vxp_multiplayerLiteInfoPane\").parents(\".vxp_multiplayerLite\").find(\".vxp_player\").getConfigs();r(t,0,n)}),FB.Event.subscribe(\"edge.remove\",function(n){var t=$vxp(\".vxp_multiplayerLiteInfoPane\").parents(\".vxp_multiplayerLite\").find(\".vxp_player\").getConfigs();r(t,1,n)}),$vxp(\"body\").append(\"<div class='vxp_fbsubscribe_mpl'></div>\"))}catch(u){}});$vxp.registerWidget(\"silverlightInstall\",function(n){var t=$vxp.vxpGlobal.players[$vxp.vxpFind(\"div.vxp_player\").attr(\"id\")],i;if(t&&t.loadState&&t.loadState.indexOf(\"install\")!=-1&&t.loadState!=\"install:flash\"){i=$vxp.hasFlash(t.loadContext.flashVersion),t.loadState==\"install:restart\"?s():t.loadState==\"install:incompatible\"?h():t.loadState==\"install:unpromptable\"?c():t.loadState==\"install:flash\"||t.loadState==\"install:silverlight\"&&(i&&$vxp(\".remindLater\").show(),$vxp(\".noThanks\").show()),$vxp.browser.msie?$vxp(\".homePage\").show():$vxp(\"#homePageCheckBox\").attr(\"checked\",!1),window.external&&typeof window.external.AddSearchProvider!=\"undefined\"?$vxp(\".searchProvider\").show():$vxp(\"#searchProviderCheckBox\").attr(\"checked\",\"false\"),$vxp(\".install\").click(function(){var i=$vxp(this).hasClass(\"restartInstallation\")?\"restart install\":\"install button\",t;return $vxp.cookie(\"rf\",null),$vxp.reportClick({click:i,prop13:\"sl3installer\",rf:\"\"}),$vxp.reportPageView({pn:\"sl3install:run\",pt:\"SL_click\",prop4:\"sl3install:run\",rf:\"\"}),o(),$vxp(\"#homePageCheckBox\").attr(\"checked\")&&(t=document.createElement(\"div\"),t.style.behavior=\"url(#default#homepage)\",t.setHomePage($vxp(n).getConfig(\"HomePageUrl\"))),window.location=f()&&a()&&!v()?$vxp(\".installLink\").attr(\"href\"):\"http://go2.microsoft.com/fwlink/?linkid=124807\",!1}),$vxp(\".remindLater\").click(function(){$vxp.reportClick({click:\"remind me later\",prop13:\"sl3installer\"}),r()}),$vxp(\".noThanks\").click(function(){$vxp.reportClick({click:\"no thanks\",prop13:\"sl3installer\"}),r()});function r(){$vxp.cookie(\"viddsl\",\"1\",t.loadContext.declineSilverlightCookieTime);if(i&&t.loadContext.flashAvailable)document.location=document.location.href.toString();else{var n=document.referrer.toString();n==\"\"&&(n=$vxp.cookie(\"vidref\")),e(n)&&n!=document.location.href.toString()||(n=t.loadContext.fallbackUrl),document.location=n}}function e(n){return n!=undefined&&n!=\"\"&&u(document.location.href.toString())==u(n)}function u(n){return n&&(n=n.slice(7),n=n.split(\"/\")[0]),n}function o(){$vxp(n).addClass(\"step2\"),$vxp(n).find(\".restartInstallation\").show(),$vxp(n).find(\".pane\").hide(),f()?l()?$vxp(n).find(\".step2.pc\").show():$vxp(n).find(\".step2.pcff\").show():$vxp(n).find(\".step2.mac\").show()}function s(){$vxp(n).find(\".pane\").hide(),$vxp(n).find(\".restart\").show()}function h(){$vxp(n).find(\".pane\").hide(),$vxp(n).find(\".notsupported\").show()}function c(){$vxp(n).find(\".pane\").hide(),$vxp(n).find(\".unpromptable\").show()}function f(){return navigator.appVersion.indexOf(\"Mac\")==-1}function l(){return navigator.appVersion.indexOf(\"MSIE\")!=-1}function a(){return $vxp.browser.msie&&($vxp.browser.version==\"7.0\"||$vxp.browser.version==\"8.0\")&&navigator.userAgent.indexOf(\"Trident/5.0\")<0}function v(){return window.navigator.userAgent.toString().indexOf(\"Windows NT 5.1\")!=-1}}}),vxpPreWait(function(n){function t(t){var i=n.vxpGlobal.players[n.vxpFind(\"div.vxp_player\").attr(\"id\")];if(i&&i.loadState&&i.loadState.indexOf(\"install\")!=-1&&i.loadState!=\"install:flash\"&&i.loadState!=\"install:silverlight:simple\"){n(\".ux.vxp_player\").hide(),n.dimLights(500,!1);var u=n(\".vxp_pageContent, .ux.hub\"),f=n(\".vxp_playerRow\"),r=n(\".vxp_silverlightInstall\"),e=(n(u).width()-r.width())/2+n(u).offset().left,o=n(f).offset().top;r.setConfig(\"UserHasFlash\",n.hasFlash(i.loadContext.flashVersion)),r.widgetRefresh(function(){r=n(\".vxp_silverlightInstall\");var t=n(i.loadContext.videoData.isBing?'<div class=\"uxVideo\"></div>':\"<div></div>\");n(document.body).append(t),n(t).append(r),n(t).css(\"position\",\"absolute\"),n(t).css(\"z-index\",1e5),n(t).css(\"left\",e+\"px\"),n(t).css(\"top\",o+\"px\")}),t||n.reportPageView({prop4:\"sl3installer\",prop7:n(\".vxp_videoModule .vxp_widgetMode\").length==0?\"dest^slinstall\":\"dest hub^slinstall\",pt:\"slinstall\",pn:\"sl3installer\",prop11:\"\"})}}n.subscribeEvent(\"pageReady\",t,\"silverlightInstall\"),n.subscribeEvent(\"openSilverlightInstaller\",t,\"silverlightInstall\")});$vxp.registerWidget(\"videoQueue\",function(n){var i=$vxp(n).getConfigs(),ft=i.smartpreviewplayerurl,it=i.userplaylistenabled,ut=i.continuousplaycontextkey,t=i.continuousplayindex,r=i.continuousplaytotal,nt=i.currentvideoid,g=i.issmartpool,b=i.issmartpoolready,p=i.smartpooltkserviceurl,v=i.smartpoolpingserviceurl,o=i.smartpoolvideoids,a=\"editor\",w=$vxp(n).find(\".vxp_gallery_item\")[0].cloneNode(!0),f=!1,k=i.playinline,d=function(t){t.find(\".vxp_removeFromQueueButton\").click(function(i){i.preventDefault();var r=$vxp(n).find(\".vxp_gallery_item\").index(t);$vxp.vxpGlobal.playlist.remove(r)})},u=function(){var u,i;$vxp(n).find(\".vxp_gallery_item\").removeClass(\"vxp_playlist_playing\").removeClass(\"vxp_playlist_next\"),t!=-1&&(u=$vxp(n).find(\".vxp_gallery_item\").eq(t),u.addClass(\"vxp_playlist_playing\"),$vxp.scrollTo($vxp(n).find(\"div.vxp_videoContainer\"),u)),i=t+1,i>=r&&(i=0),i!=t&&$vxp(n).find(\".vxp_gallery_item\").eq(i).addClass(\"vxp_playlist_next\")},c=function(){t=-1,r=0,e(r),$vxp(n).find(\"div.vxp_videoqueuegrid\").html(\"\"),$vxp.updateScrolling($vxp(n).find(\".vxp_scrollable\")),$vxp.vxpGlobal.playlist.exists()||(f=!1,$vxp(n).widgetRefresh())},tt=function(i){r--,e(r),i==t?t=-1:i<t&&t--,$vxp(n).find(\".vxp_gallery_item\").eq(i).remove(),$vxp.updateScrolling($vxp(n).find(\".vxp_scrollable\")),$vxp.vxpGlobal.playlist.exists()?u():(f=!1,$vxp(n).widgetRefresh())},h=function(t,i){var l,a;f||(f=!0,$vxp(n).addClass(\"vxp_user_playlist\"),$vxp.VideoModule.updateLayout(!0),c()),r++,e(r);var o=$vxp(w.cloneNode(!0)),s=t.url,h=$vxp.queryString.getParam(document.location.href.toString()),l,v=10;while(v-->0)try{l=$vxp.queryString.setParam(s,{rel:h.rel,q:h.q,playerSize:h.playersize})}catch(y){}l&&(s=l),o.attr(\"data-videoId\",t.id),o.attr(\"data-motionThumb\",t.motionThumb),o.attr(\"data-isBing\",t.isBing),o.attr(\"data-providerId\",t.providerId||\"\"),o.find(\".vxp_motionThumb\").attr(\"title\",t.description),o.find(\".vxp_playerUrl.vxp_title\").attr(\"title\",t.description),o.attr(\"data-desc\",t.desc),o.find(\".vxp_title\").html(\"<a class='vxp_playerUrl'>\"+(t.title&&t.title.text?t.title.text():t.title)+\"</a>\"),o.find(\".vxp_playerUrl\").attr(\"href\",s),o.find(\"IMG\").attr(\"src\",t.thumb),o.attr(\"data-source\",t.source),o.attr(\"data-playerType\",t.playerType),o.attr(\"data-playerAdapter\",t.playerAdapter),o.attr(\"data-copyright\",t.copyright),o.find(\".vxp_removeFromQueueButton\").addClass(\"active\"),d(o),a=$vxp(n).find(\".vxp_gallery\"),$vxp(n).fireEvent(\"galleryAddVideo\",o,a),i||($vxp.updateScrolling($vxp(n).find(\".vxp_scrollable\")),u())},e=function(t){$vxp(n).find(\".vxpVideoQueueCount\").html(\" (\"+t+\")\")},rt=function(){var u,o,s;t++,t>=r&&(t=0);var i=$vxp(n).find(\".vxp_gallery_item\").eq(t),e=i.find(\".vxp_playerUrl\").eq(0).attr(\"href\"),h=i.attr(\"data-videoId\"),c=i.attr(\"data-playerAdapter\");e&&($vxp.cookie(\"vidap\",a),u=f?\"UserPlaylist\":$vxp(n).find(\".vxp_gallery\").getConfig(\"tracking\"),o=$vxp(i).attr(\"data-activityId\"),$vxp.vxpGlobal.smartPool.ping(v,\"cp\",{click:o}),k?(s=$vxp.updateTracking(\"cp\",\"\",u),$vxp.fireEvent(\"playVideo\",{id:h,metadata:{supportedPlayers:c,source:a,playerSource:s}})):($vxp.writeTrackingCookie(\"cp\",\"\",u),document.location.href=e))},s=function(){var f,s,r;$vxp(n).find(\"div.vxpVideoQueueHeader\").removeClass(\"vxp_playlist_hidden\"),f=$vxp(n).find(\"div.vxp_videoContainer\"),f.removeClass(\"vxp_playlist_hidden\"),$vxp.VideoModule.updateLayout(!0),$vxp.updateScrolling(f),u();if(o&&o!=\"\"){var i=o.split(\",\"),h=$vxp(n).width()>300,e=h?3:1,l=h?3:4,c=Math.max(0,t),a=Math.floor(Math.max(0,t)/e),c=a*e,y=Math.min(c+e*l,i.length-1);for(i=i.slice(c,y),s=[],r=0;r<i.length;r++)i[r]!=\"skip\"&&s.push(i[r]);$vxp.vxpGlobal.smartPool.ping(v,\"cp\",{views:s})}},l,y;$vxp(n).find(\"a.vxp_motionThumb\").each(function(){var n=$vxp(this);n.unbind(\"keydown\").keydown(function(n){if(n.keyCode==13||n.keyCode==32)this.click();else return})}),$vxp(n).subscribeEvent(\"countdownComplete\",rt),$vxp(n).subscribeEvent(\"playlistVideoAdded\",function(n){h(n.video)}),$vxp(n).subscribeEvent(\"playlistVideoRemoved\",function(n){tt(n.index)}),$vxp(n).subscribeEvent(\"playlistCleared\",function(){c()}),$vxp(n).subscribeEvent(\"playVideo\",function(i){var f,r,e,o;for(t=-1,f=$vxp(n).find(\".vxp_gallery_item\"),r=0;r<f.length;r++)e=$vxp(f[r]),o=e.attr(\"data-videoId\"),o==i.id&&(t=r);u()}),$vxp(n).subscribeEvent(\"geoFencedVideoRemoved\",function(){u()}),$vxp(n).find(\"div.vxpVideoQueueClear\").click($vxp.vxpGlobal.playlist.removeAll),it&&$vxp.vxpGlobal.playlist.exists()?(l=$vxp.vxpGlobal.playlist.getAll(),y=$vxp.getPageWidget().getConfig(\"ServicesRoot\")+\"/videodata/?callback=?\",$vxp.getJSON(y,{responseEncoding:\"json\",ids:l.join(\",\"),v:\"2\"},function(n){var r,i,u;if(n&&n.videos){for(r=0;r<n.videos.length;r++)i=n.videos[r],u={description:i.description,id:i.uuid,compactId:i.compactId,isBing:i.isBing,motionThumb:i.motionThumb,playerAdapter:i.playerAdapter,playerType:i.playerType,providerId:i.providerId,source:i.sourceFriendly,thumb:i.thumb,title:i.title,url:i.url},h(u,!0),nt==u.id.value&&(t=r);s()}})):g&&!b?$vxp.vxpGlobal.smartPool.getTargetingKey(p,function(t){$vxp(n).setConfig(\"SmartPoolTargetingKey\",t),$vxp(n).widgetRefresh()}):s()});$vxp.registerWidget(\"videoQueueHeaderButton\",function(n){var i=$vxp(n).getConfigs(),f=i.queuecounttext,e=i.queuefilledtooltip,o=i.queueemptytooltip,u=i.isactive,t=$vxp(n).find(\"a\"),s=t.find(\".vxp_label\"),r=function(){var n=$vxp.vxpGlobal.playlist.count();s.html(f+\" (\"+n+\")\"),n>0&&u?(t.addClass(\"vxp_active\"),t.attr(\"title\",e)):(t.removeClass(\"vxp_active\"),t.attr(\"title\",o))};$vxp(n).click(function(n){var t,i,r;n.preventDefault(),t=$vxp.vxpGlobal.playlist.getAll(),t.length>0&&u&&(i=t[0],r=\"http://\"+$vxp.getPageWidget().getConfig(\"SiteRoot\")+\"?vid=\"+i+\"&mkt=\"+$vxp.getPageWidget().getConfig(\"Market\"),document.location.href=r)}),$vxp(n).subscribeEvent(\"playlistVideoAdded\",r),$vxp(n).subscribeEvent(\"playlistVideoRemoved\",r),$vxp(n).subscribeEvent(\"playlistCleared\",r),r()});$vxp.registerWidget(\"browserDetect\",function(n){for(var r=[\"windows ce\",\"wm5 pie\",\"iemobile\",\"blackberry\",\"android\"],h=$vxp(n).getConfig(\"IEMinVer\"),c=$vxp(n).getConfig(\"FFMinVer\"),u=$vxp(n).getConfig(\"MobileUrl\"),l=navigator.userAgent.toLowerCase(),f=!1,s,t=0;t<r.length;t++)if(l.indexOf(r[t])!=-1){f=!0;break}if(f&&u.length)document.location.href=u;else{var i=$vxp.browser,e=i.version,o=e.substr(0,e.indexOf(\".\")),a=i.opera||i.msie&&o<h||i.mozilla&&o<c;a&&(s=$vxp.cookie(\"vidcbr\"),s!=\"1\"&&($vxp(n).fadeIn(1e3),$vxp(n).find(\".close\").click(function(){$vxp.cookie(\"vidcbr\",\"1\"),$vxp(n).slideUp(500)})))}});$vxp.registerWidget(\"socialShareBar\",function(){});$vxp.registerWidget(\"template\",function(){});$vxp.registerWidget(\"UserComments\",function(){});"
|
||
},
|
||
{
|
||
"name": "sample.abap.txt",
|
||
"content": "REPORT zrosetta_base64_encode_data.\n\nDATA: li_client TYPE REF TO if_http_client,\n lv_encoded TYPE string,\n lv_data TYPE xstring.\n\n\ncl_http_client=>create_by_url(\n EXPORTING\n url = 'http://rosettacode.org/favicon.ico'\n IMPORTING\n client = li_client ).\n\nli_client->send( ).\nli_client->receive( ).\n\nlv_data = li_client->response->get_data( ).\n\nCALL FUNCTION 'SSFC_BASE64_ENCODE'\n EXPORTING\n bindata = lv_data\n IMPORTING\n b64data = lv_encoded.\n\nWHILE strlen( lv_encoded ) > 100.\n WRITE: / lv_encoded(100).\n lv_encoded = lv_encoded+100.\nENDWHILE.\nWRITE: / lv_encoded.\n"
|
||
},
|
||
{
|
||
"name": "sample.aes.txt",
|
||
"content": "// Contract simulating developers organization\ncontract HackBG =\n\n record state = { developers: map(address, developer) }\n\n record developer = { name: string\n , age: int\n , skillset: map(skill, experience) }\n\n type skill = string\n type experience = int\n\n datatype event =\n LogDeveloperAdded(indexed address, indexed int, string)\n\n entrypoint init() : state = { developers = {} }\n\n stateful entrypoint dev_add(account: address, dev_name: string, dev_age: int) =\n require(!is_member(account), \"ERROR_DEVELOPER_ALREADY_EXISTS\")\n let dev : developer = { name = dev_name\n , age = dev_age\n , skillset = {} }\n put(state{ developers[account] = dev })\n Chain.event(LogDeveloperAdded(account, Chain.timestamp, dev_name))\n\n stateful entrypoint dev_update(account: address, dev_name: string, dev_age: int) =\n require(is_member(account), \"ERROR_DEVELOPER_DOES_NOT_EXIST\")\n put(state{ developers[account].name = dev_name })\n put(state{ developers[account].age = dev_age })\n\n function is_member(account: address) : bool =\n Map.member(account, state.developers)\n\n stateful entrypoint dev_skill_modify(account: address, skill: string, experience: int) =\n put(state{ developers[account].skillset[skill] = experience })\n\n entrypoint dev_get(account: address) : developer =\n state.developers[account]"
|
||
},
|
||
{
|
||
"name": "sample.apex.txt",
|
||
"content": "/* Using a single database query, find all the leads in\n the database that have the same email address as any\n of the leads being inserted or updated. */\nfor (Lead lead : [SELECT Email FROM Lead WHERE Email IN :leadMap.KeySet()]) {\n Lead newLead = leadMap.get(lead.Email);\n newLead.Email.addError('A lead with this email address already exists.');\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.azcli.txt",
|
||
"content": "# Create a resource group.\naz group create --name myResourceGroup --location westeurope\n\n# Create a new virtual machine, this creates SSH keys if not present.\naz vm create --resource-group myResourceGroup --name myVM --image UbuntuLTS --generate-ssh-keys"
|
||
},
|
||
{
|
||
"name": "sample.bat.txt",
|
||
"content": "rem *******Begin Comment**************\nrem This program starts the superapp batch program on the network,\nrem directs the output to a file, and displays the file\nrem in Notepad.\nrem *******End Comment**************\n@echo off\nif exist C:\\output.txt goto EMPTYEXISTS\nsetlocal\n\tpath=g:\\programs\\superapp;%path%\n\tcall superapp>C:\\output.txt\nendlocal\n:EMPTYEXISTS\nstart notepad c:\\output.txt"
|
||
},
|
||
{
|
||
"name": "sample.bicep.txt",
|
||
"content": "targetScope = 'subscription'\n\nparam deployStorage bool = true\n\n@description('The object ID of the principal that will get the role assignment')\nparam aadPrincipalId string\n\nmodule stg './storage.bicep' = if(deployStorage) {\n name: 'storageDeploy'\n scope: resourceGroup('another-rg') // this will target another resource group in the same subscription\n params: {\n storageAccountName: '<YOURUNIQUESTORAGENAME>'\n }\n}\n\nvar contributor = 'b24988ac-6180-42a0-ab88-20f7382dd24c'\nresource roleDef 'Microsoft.Authorization/roleDefinitions@2018-01-01-preview' existing = {\n name: contributor\n}\n\nresource rbac 'Microsoft.Authorization/roleAssignments@2020-04-01-preview' = {\n name: guid(subscription().id, aadPrincipalId, contributor)\n properties: {\n roleDefinitionId: roleDef.id\n principalId: aadPrincipalId\n }\n}\n\noutput storageName array = stg.outputs.containerProps\n"
|
||
},
|
||
{
|
||
"name": "sample.c.txt",
|
||
"content": "// Copyright (c) Microsoft. All rights reserved.\n// Licensed under the MIT license. See LICENSE file in the project root for full\n// license information.\n\n/*\n *\tCOMMAND LINE: -Ox -Gz -YX -UPROTOTYPES_REQUIRED\n */\n\n#pragma warning(disable : 4532)\n#pragma warning(disable : 4702)\n\n#if defined(_WIN32)\n\n#if defined(_M_SH)\n#define WIN_CE\n#endif\n\n#if defined(_M_AMD64)\n#define NEST_IN_FINALLY /* allow when __try nested in __finally OK */\n#endif\n\n#define NTSTATUS LONG\n#define EXCEPTION_NESTED_CALL 0x10\n#define RtlRaiseStatus(x) RaiseException((x), 0, 0, NULL)\n#define RtlRaiseException(x) \\\n RaiseException((x)->ExceptionCode, (x)->ExceptionFlags, \\\n (x)->NumberParameters, (x)->ExceptionInformation)\n#define IN\n#define OUT\n#if !(defined(_M_IA64) || defined(_M_ALPHA) || defined(_M_PPC) || \\\n defined(_M_AMD64) || defined(_M_ARM) || defined(_M_ARM64))\n#define i386 1\n#endif\n#define try __try\n#define except __except\n#define finally __finally\n#define leave __leave\n\n#endif\n\n#define WIN32_LEAN_AND_MEAN\n\n#include \"stdio.h\"\n#if defined(_M_IA64) || defined(_M_ALPHA) || defined(_M_PPC) || \\\n defined(_M_AMD64) || defined(_M_ARM) || defined(_M_ARM64)\n#include \"setjmpex.h\"\n#else\n#include \"setjmp.h\"\n#endif\n#include \"float.h\"\n#include \"windows.h\"\n#include \"math.h\"\n\n#if !defined(STATUS_SUCCESS)\n#define STATUS_SUCCESS 0\n#endif\n#if !defined(STATUS_UNSUCCESSFUL)\n#define STATUS_UNSUCCESSFUL ((NTSTATUS)0xC0000001L)\n#endif\n\n//\n// Define switch constants.\n//\n\n#define BLUE 0\n#define RED 1\n\n//\n// Define function prototypes.\n//\n\nVOID addtwo(IN LONG First, IN LONG Second, IN PLONG Place);\n\nVOID bar1(IN NTSTATUS Status, IN PLONG Counter);\n\nVOID bar2(IN PLONG BlackHole, IN PLONG BadAddress, IN PLONG Counter);\n\nVOID dojump(IN jmp_buf JumpBuffer, IN PLONG Counter);\n\nLONG Echo(IN LONG Value);\n\n#if !defined(WIN_CE) // return through finally not allowed on WinCE\nVOID eret(IN NTSTATUS Status, IN PLONG Counter);\n#endif\n\nVOID except1(IN PLONG Counter);\n\nULONG\nexcept2(IN PEXCEPTION_POINTERS ExceptionPointers, IN PLONG Counter);\n\nULONG\nexcept3(IN PEXCEPTION_POINTERS ExceptionPointers, IN PLONG Counter);\n\nVOID foo1(IN NTSTATUS Status);\n\nVOID foo2(IN PLONG BlackHole, IN PLONG BadAddress);\n\n#if !defined(WIN_CE) // return from finally not allowed on WinCE\nVOID fret(IN PLONG Counter);\n#endif\n\nBOOLEAN\nTkm(VOID);\n\nVOID Test61Part2(IN OUT PULONG Counter);\n\ndouble SquareDouble(IN double op);\n\nDECLSPEC_NOINLINE\nULONG\nPgFilter(VOID)\n\n{\n\n printf(\"filter entered...\");\n return EXCEPTION_EXECUTE_HANDLER;\n}\n\n#pragma warning(push)\n#pragma warning(disable : 4532)\n\nVOID PgTest69(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 1) {\n *State += 1;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 1) == 1) ? PgFilter() : EXCEPTION_CONTINUE_SEARCH) {\n if (*State != 2) {\n *Fault += 1;\n }\n }\n\n return;\n}\n\nVOID PgTest70(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 2) {\n PgFilter();\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 2) == 2) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest71(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 3) {\n *State += 3;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 6) {\n *State += 3;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 3) == 3) ? PgFilter() : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest72(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 4) {\n *State += 4;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 8) {\n *State += 4;\n PgFilter();\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 4) == 4) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest73(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 5) {\n *State += 5;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 10) {\n *State += 5;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 5) == 5) ? PgFilter() : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest74(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 6) {\n *State += 6;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 12) {\n *State += 6;\n PgFilter();\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 6) == 6) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest75(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 7) {\n *State += 7;\n *Fault += 1;\n\n } else {\n *State += 10;\n }\n }\n }\n }\n except(((*State += 7) == 7) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 28) {\n *State += 7;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 7) == 28) ? PgFilter() : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest76(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 8) {\n *State += 8;\n *Fault += 1;\n\n } else {\n *State += 10;\n }\n }\n }\n }\n except(((*State += 8) == 8) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 32) {\n *State += 8;\n PgFilter();\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 8) == 32) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest77(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 9) {\n *State += 9;\n *Fault += 1;\n\n } else {\n *State += 10;\n }\n }\n }\n }\n except(((*State += 9) == 9) ? PgFilter() : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 36) {\n *State += 9;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 9) == 36) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\nVOID PgTest78(IN PLONG State, IN PLONG Fault)\n\n{\n\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 10) {\n *State += 10;\n PgFilter();\n *Fault += 1;\n\n } else {\n *State += 10;\n }\n }\n }\n }\n except(((*State += 10) == 10) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n }\n finally {\n if (AbnormalTermination()) {\n if (*State == 40) {\n *State += 10;\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n except(((*State += 10) == 40) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n\n return;\n}\n\n#pragma warning(pop)\n\nVOID Test79(PLONG Counter, PLONG Fault)\n\n{\n\n try {\n try {\n try {\n *Fault += 1;\n }\n finally {\n printf(\"finally 1...\");\n *Fault += 1;\n }\n }\n finally { printf(\"finally 2...\"); }\n }\n except(*Counter += 1, printf(\"filter 1...\"), EXCEPTION_CONTINUE_SEARCH) {}\n\n return;\n}\n\nULONG G;\n\nULONG\nTest80(VOID)\n\n{\n\n G = 1;\n try {\n while (G) {\n try {\n if (G == 10) {\n return 1;\n }\n\n if (G == 1) {\n continue;\n }\n }\n finally { G = 0; }\n }\n }\n finally { G = 10; }\n\n return 0;\n}\n\nvoid Test81(int *pCounter) {\n volatile char *AvPtr = NULL;\n\n __try {\n __try { *AvPtr = '\\0'; }\n __except(EXCEPTION_EXECUTE_HANDLER) { __leave; }\n }\n __finally {\n printf(\"in finally \");\n *pCounter += 1;\n }\n return;\n}\n\nDECLSPEC_NOINLINE\nVOID Test82Foo(VOID)\n\n{\n *(volatile int *)0 = 0;\n}\n\nVOID Test82(__inout PLONG Counter)\n\n{\n\n int retval = 1;\n\n __try {\n __try { Test82Foo(); }\n __finally {\n switch (*Counter) {\n case 0:\n printf(\"something failed!\\n\");\n retval = 6;\n break;\n\n case 1:\n retval = 0;\n break;\n\n case 2:\n printf(\"how did you get here?\\n\");\n retval = 2;\n break;\n\n case 3:\n printf(\"what?!?\\n\");\n retval = 3;\n break;\n\n case 4:\n printf(\"not correct\\n\");\n retval = 4;\n break;\n\n case 5:\n printf(\"error!\\n\");\n retval = 5;\n break;\n }\n }\n }\n __except(1){}\n\n *Counter = retval;\n return;\n}\n\nLONG Test83(VOID)\n\n{\n\n G = 1;\n try {\n try {\n while (G) {\n try {\n if (G == 10) {\n return 1;\n }\n\n if (G == 1) {\n continue;\n }\n }\n finally { G = 0; }\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { leave; }\n }\n finally { G = 10; }\n\n return 0;\n}\n\nDECLSPEC_NOINLINE\nVOID Test84(_Inout_ PLONG Counter)\n\n{\n volatile int *Fault = 0;\n\n try {\n try {\n *Fault += 1;\n }\n except(EXCEPTION_EXECUTE_HANDLER) {\n try {\n return;\n }\n finally { *Counter += 1; }\n }\n }\n finally {\n\n if (AbnormalTermination()) {\n *Counter += 1;\n }\n }\n\n return;\n}\n\nDECLSPEC_NOINLINE\nLONG Test85(_Inout_ PLONG Counter)\n\n{\n volatile int *Fault = 0;\n\n G = 1;\n try {\n try {\n try {\n while (G) {\n try {\n try {\n if (G == 10) {\n return 1;\n }\n try {\n *Counter += 1;\n }\n except(EXCEPTION_EXECUTE_HANDLER) {}\n\n if (G == 1) {\n continue;\n }\n }\n finally {\n G = 0;\n *Counter += 1;\n *Fault += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) {\n *Counter += 1;\n leave;\n }\n }\n }\n finally {\n G = 10;\n *Counter += 1;\n *Fault += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { *Counter += 1; }\n *Counter += 1;\n }\n finally { *Counter += 1; }\n return 1;\n}\n\nDECLSPEC_NOINLINE\nVOID Test86(_Inout_ PLONG Counter)\n\n{\n volatile int *Fault = 0;\n\n try {\n try {\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n except(printf(\"Filter1 %d..\", *Counter),\n EXCEPTION_EXECUTE_HANDLER) {\n try {\n printf(\"Handler1 %d..\", *Counter);\n return;\n }\n finally {\n printf(\"Finally1 %d..\", *Counter);\n *Counter += 1;\n }\n }\n }\n finally {\n printf(\"Finally2 %d..\", *Counter);\n *Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { leave; }\n }\n finally { *Counter += 1; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { leave; }\n }\n finally { *Counter += 1; }\n\n return;\n}\n\nVOID Test87(_Inout_ PLONG Counter)\n\n/*++\n\nRoutine Description:\n\n This function verifies the behavior of nested exception dispatching.\n\nArguments:\n\n Counter - Supplies a pointer to the state counter.\n\nReturn Value:\n None.\n\n--*/\n\n{\n volatile int *Fault = 0;\n\n//\n// N.B. Disabled on x86 due to failing test case with handling of returns\n// in nested termination handlers on x86.\n//\n// Disabled on ARM due to failing test case with handling of abutting\n// termination handlers within an except handler.\n//\n// Disabled on AMD64 due to failing test case with handling of\n// abutting termination handlers within an except handler when a\n// non-local goto is involved.\n//\n\n#if !defined(_X86_)\n try {\n try {\n try {\n try {\n try {\n *Fault += 1;\n\n try {\n }\n finally {\n if (AbnormalTermination()) {\n *Fault += 1;\n }\n }\n }\n finally {\n\n if (AbnormalTermination()) {\n if ((*Counter += 13) == 26) {\n return;\n\n } else {\n *Fault += 1;\n }\n }\n }\n }\n finally {\n if (AbnormalTermination()) {\n *Counter += 13;\n *Fault += 1;\n }\n }\n }\n except(((*Counter += 13) == 13) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Fault += 1;\n }\n }\n except(((*Counter += 13) == 65) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n try {\n *Counter += 13;\n return;\n }\n finally {\n if (AbnormalTermination()) {\n *Counter += 13;\n goto Finish;\n }\n }\n }\n }\n finally {\n\n if (AbnormalTermination()) {\n if ((*Counter += 13) == 104) {\n goto Finish;\n }\n }\n }\n\nFinish:\n#else\n *Counter = 104;\n#endif\n\n return;\n}\n\nVOID Test88(_Inout_ PLONG Counter)\n\n{\n volatile int *Fault = 0;\n\n try {\n try {\n try {\n try {\n try {\n try {\n try {\n try {\n *Fault += 1;\n }\n except(((*Counter += 1) == 1) ? *Fault\n : EXCEPTION_CONTINUE_SEARCH) {}\n }\n except(*Counter += 1, EXCEPTION_EXECUTE_HANDLER) { *Fault += 2; }\n }\n except(*Counter += 1, EXCEPTION_CONTINUE_SEARCH) { leave; }\n }\n except(*Counter += 1, EXCEPTION_CONTINUE_SEARCH) { leave; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) {}\n }\n except(EXCEPTION_EXECUTE_HANDLER) {}\n }\n except(EXCEPTION_EXECUTE_HANDLER) { leave; }\n }\n finally { *Counter += 1; }\n}\n\nint main(int argc, char *argv[])\n\n{\n\n PLONG BadAddress;\n PCHAR BadByte;\n PLONG BlackHole;\n ULONG Index1;\n ULONG Index2 = RED;\n jmp_buf JumpBuffer;\n LONG Counter;\n EXCEPTION_RECORD ExceptionRecord;\n double doubleresult;\n\n //\n // Announce start of exception test.\n //\n\n printf(\"Start of exception test\\n\");\n\n //\n // Initialize exception record.\n //\n\n ExceptionRecord.ExceptionCode = STATUS_INTEGER_OVERFLOW;\n ExceptionRecord.ExceptionFlags = 0;\n ExceptionRecord.ExceptionRecord = NULL;\n ExceptionRecord.NumberParameters = 0;\n\n //\n // Initialize pointers.\n //\n\n BadAddress = (PLONG)NULL;\n BadByte = (PCHAR)NULL;\n BadByte += 1;\n BlackHole = &Counter;\n\n //\n // Simply try statement with a finally clause that is entered sequentially.\n //\n\n printf(\" test1...\");\n Counter = 0;\n try {\n Counter += 1;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 1;\n }\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try statement with an exception clause that is never executed\n // because there is no exception raised in the try clause.\n //\n\n printf(\" test2...\");\n Counter = 0;\n try {\n Counter += 1;\n }\n except(Counter) { Counter += 1; }\n\n if (Counter != 1) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try statement with an exception handler that is never executed\n // because the exception expression continues execution.\n //\n\n printf(\" test3...\");\n Counter = 0;\n try {\n Counter -= 1;\n RtlRaiseException(&ExceptionRecord);\n }\n except(Counter) { Counter -= 1; }\n\n if (Counter != -1) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try statement with an exception clause that is always executed.\n //\n\n printf(\" test4...\");\n Counter = 0;\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(Counter) { Counter += 1; }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try statement with an exception clause that is always executed.\n //\n\n printf(\" test5...\");\n Counter = 0;\n try {\n Counter += 1;\n *BlackHole += *BadAddress;\n }\n except(Counter) { Counter += 1; }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simply try statement with a finally clause that is entered as the\n // result of an exception.\n //\n\n printf(\" test6...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n RtlRaiseException(&ExceptionRecord);\n }\n finally {\n if (abnormal_termination() != FALSE) {\n Counter += 1;\n }\n }\n }\n except(Counter) {\n if (Counter == 2) {\n Counter += 1;\n }\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simply try statement with a finally clause that is entered as the\n // result of an exception.\n //\n\n printf(\" test7...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n *BlackHole += *BadAddress;\n }\n finally {\n if (abnormal_termination() != FALSE) {\n Counter += 1;\n }\n }\n }\n except(Counter) {\n if (Counter == 2) {\n Counter += 1;\n }\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try that calls a function which raises an exception.\n //\n\n printf(\" test8...\");\n Counter = 0;\n try {\n Counter += 1;\n foo1(STATUS_ACCESS_VIOLATION);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try that calls a function which raises an exception.\n //\n\n printf(\" test9...\");\n Counter = 0;\n try {\n Counter += 1;\n foo2(BlackHole, BadAddress);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try that calls a function which calls a function that\n // raises an exception. The first function has a finally clause\n // that must be executed for this test to work.\n //\n\n printf(\" test10...\");\n Counter = 0;\n try {\n bar1(STATUS_ACCESS_VIOLATION, &Counter);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter -= 1;\n }\n\n if (Counter != 98) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try that calls a function which calls a function that\n // raises an exception. The first function has a finally clause\n // that must be executed for this test to work.\n //\n\n printf(\" test11...\");\n Counter = 0;\n try {\n bar2(BlackHole, BadAddress, &Counter);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter -= 1;\n }\n\n if (Counter != 98) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try within an except\n //\n\n printf(\" test12...\");\n Counter = 0;\n try {\n foo1(STATUS_ACCESS_VIOLATION);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n try {\n foo1(STATUS_SUCCESS);\n }\n except((GetExceptionCode() == STATUS_SUCCESS) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n if (Counter != 1) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded...\");\n }\n\n Counter += 1;\n }\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try within an except\n //\n\n printf(\" test13...\");\n Counter = 0;\n try {\n foo2(BlackHole, BadAddress);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n try {\n foo1(STATUS_SUCCESS);\n }\n except((GetExceptionCode() == STATUS_SUCCESS) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n if (Counter != 1) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded...\");\n }\n\n Counter += 1;\n }\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from except/finally not allowed on WinCE\n //\n // A goto from an exception clause that needs to pass\n // through a finally\n //\n\n printf(\" test14...\");\n Counter = 0;\n try {\n try {\n foo1(STATUS_ACCESS_VIOLATION);\n }\n except((GetExceptionCode() == STATUS_ACCESS_VIOLATION)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n goto t9;\n }\n }\n finally { Counter += 1; }\n\nt9:\n ;\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A goto from an finally clause that needs to pass\n // through a finally\n //\n\n printf(\" test15...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n }\n finally {\n Counter += 1;\n goto t10;\n }\n }\n finally { Counter += 1; }\n\nt10:\n ;\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A goto from an exception clause that needs to pass\n // through a finally into the outer finally clause.\n //\n\n printf(\" test16...\");\n Counter = 0;\n try {\n try {\n try {\n Counter += 1;\n foo1(STATUS_INTEGER_OVERFLOW);\n }\n except(EXCEPTION_EXECUTE_HANDLER) {\n Counter += 1;\n goto t11;\n }\n }\n finally { Counter += 1; }\n t11:\n ;\n }\n finally { Counter += 1; }\n\n if (Counter != 4) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A goto from an finally clause that needs to pass\n // through a finally into the outer finally clause.\n //\n\n printf(\" test17...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n }\n finally {\n Counter += 1;\n goto t12;\n }\n t12:\n ;\n }\n finally { Counter += 1; }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A return from an except clause\n //\n\n printf(\" test18...\");\n Counter = 0;\n try {\n Counter += 1;\n eret(STATUS_ACCESS_VIOLATION, &Counter);\n }\n finally { Counter += 1; }\n\n if (Counter != 4) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A return from a finally clause\n //\n\n printf(\" test19...\");\n Counter = 0;\n try {\n Counter += 1;\n fret(&Counter);\n }\n finally { Counter += 1; }\n\n if (Counter != 5) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // A simple set jump followed by a long jump.\n //\n\n printf(\" test20...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump followed by a long jump out of a finally clause that is\n // sequentially executed.\n //\n\n printf(\" test21...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n try {\n Counter += 1;\n }\n finally {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump within a try clause followed by a long jump out of a\n // finally clause that is sequentially executed.\n //\n\n printf(\" test22...\");\n Counter = 0;\n try {\n if (setjmp(JumpBuffer) == 0) {\n Counter += 1;\n\n } else {\n Counter += 1;\n }\n }\n finally {\n Counter += 1;\n if (Counter == 2) {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n }\n\n if (Counter != 5) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump followed by a try/except, followed by a try/finally where\n // the try body of the try/finally raises an exception that is handled\n // by the try/excecpt which causes the try/finally to do a long jump out\n // of a finally clause. This will create a collided unwind.\n //\n\n printf(\" test23...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n try {\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 1; }\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump followed by a try/except, followed by a several nested\n // try/finally's where the inner try body of the try/finally raises an\n // exception that is handled by the try/except which causes the\n // try/finally to do a long jump out of a finally clause. This will\n // create a collided unwind.\n //\n\n printf(\" test24...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n try {\n try {\n try {\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally { Counter += 1; }\n }\n finally {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n }\n finally { Counter += 1; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 1; }\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 5) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump followed by a try/except, followed by a try/finally which\n // calls a subroutine which contains a try finally that raises an\n // exception that is handled to the try/except.\n //\n\n printf(\" test25...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n try {\n try {\n try {\n Counter += 1;\n dojump(JumpBuffer, &Counter);\n }\n finally { Counter += 1; }\n }\n finally { Counter += 1; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 1; }\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 7) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A set jump followed by a try/except, followed by a try/finally which\n // calls a subroutine which contains a try finally that raises an\n // exception that is handled to the try/except.\n //\n\n printf(\" test26...\");\n Counter = 0;\n if (setjmp(JumpBuffer) == 0) {\n try {\n try {\n try {\n try {\n Counter += 1;\n dojump(JumpBuffer, &Counter);\n }\n finally { Counter += 1; }\n }\n finally {\n Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n }\n finally { Counter += 1; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 1; }\n\n } else {\n Counter += 1;\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Test nested exceptions.\n //\n\n printf(\" test27...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n except1(&Counter);\n }\n except(except2(GetExceptionInformation(), &Counter)) { Counter += 2; }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 3; }\n\n if (Counter != 55) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Simple try that causes an integer overflow exception.\n //\n\n printf(\" test28...\");\n Counter = 0;\n try {\n Counter += 1;\n addtwo(0x7fff0000, 0x10000, &Counter);\n }\n except((GetExceptionCode() == STATUS_INTEGER_OVERFLOW)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n//\n// Simple try that raises an misaligned data exception.\n//\n#if !defined(i386) && !defined(_M_IA64) && !defined(_M_AMD64) && \\\n !defined(_M_ARM) && !defined(_M_ARM64)\n printf(\" test29...\");\n Counter = 0;\n try {\n Counter += 1;\n foo2(BlackHole, (PLONG)BadByte);\n }\n except((GetExceptionCode() == STATUS_DATATYPE_MISALIGNMENT)\n ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n Counter += 1;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#endif\n //\n // Continue from a try body with an exception clause in a loop.\n //\n\n printf(\" test30...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 0) {\n continue;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 40; }\n\n Counter += 2;\n }\n\n if (Counter != 15) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Continue from a try body with an finally clause in a loop.\n //\n\n printf(\" test31...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 0) {\n continue;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n\n if (Counter != 40) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Continue from doubly nested try body with an exception clause in a\n // loop.\n //\n\n printf(\" test32...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 0) {\n continue;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 10; }\n\n Counter += 2;\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 20; }\n\n Counter += 3;\n }\n\n if (Counter != 30) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Continue from doubly nested try body with an finally clause in a loop.\n //\n\n printf(\" test33...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 0) {\n continue;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n finally { Counter += 4; }\n\n Counter += 5;\n }\n\n if (Counter != 105) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Continue from a finally clause in a loop.\n //\n\n printf(\" test34...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 0) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n continue;\n }\n\n Counter += 4;\n }\n\n if (Counter != 25) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Continue from a doubly nested finally clause in a loop.\n //\n\n printf(\" test35...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 0) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n continue;\n }\n\n Counter += 4;\n }\n finally { Counter += 5; }\n\n Counter += 6;\n }\n\n if (Counter != 75) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Continue from a doubly nested finally clause in a loop.\n //\n\n printf(\" test36...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 0) {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 4;\n }\n finally {\n Counter += 5;\n continue;\n }\n\n Counter += 6;\n }\n\n if (Counter != 115) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Break from a try body with an exception clause in a loop.\n //\n\n printf(\" test37...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 40; }\n\n Counter += 2;\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Break from a try body with an finally clause in a loop.\n //\n\n printf(\" test38...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Break from doubly nested try body with an exception clause in a\n // loop.\n //\n\n printf(\" test39...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 10; }\n\n Counter += 2;\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 20; }\n\n Counter += 3;\n }\n\n if (Counter != 6) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Break from doubly nested try body with an finally clause in a loop.\n //\n\n printf(\" test40...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n finally { Counter += 4; }\n\n Counter += 5;\n }\n\n if (Counter != 21) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a finally clause in a loop.\n //\n\n printf(\" test41...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n break;\n }\n\n Counter += 4;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a doubly nested finally clause in a loop.\n //\n\n printf(\" test42...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n break;\n }\n\n Counter += 4;\n }\n finally { Counter += 5; }\n\n Counter += 6;\n }\n\n if (Counter != 7) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a doubly nested finally clause in a loop.\n //\n\n printf(\" test43...\");\n Counter = 0;\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 4;\n }\n finally {\n Counter += 5;\n break;\n }\n\n Counter += 6;\n }\n\n if (Counter != 11) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Break from a try body with an exception clause in a switch.\n //\n\n printf(\" test44...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 40; }\n\n Counter += 2;\n break;\n }\n\n if (Counter != 0) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Break from a try body with an finally clause in a switch.\n //\n\n printf(\" test45...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Break from doubly nested try body with an exception clause in a\n // switch.\n //\n\n printf(\" test46...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 10; }\n\n Counter += 2;\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 20; }\n\n Counter += 3;\n }\n\n if (Counter != 0) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // gotos from try/finally not allowed on WinCE\n //\n // Break from doubly nested try body with an finally clause in a switch.\n //\n\n printf(\" test47...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n break;\n\n } else {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 3;\n }\n finally { Counter += 4; }\n\n Counter += 5;\n }\n\n if (Counter != 6) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a finally clause in a switch.\n //\n\n printf(\" test48...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n break;\n }\n\n Counter += 4;\n }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a doubly nested finally clause in a switch.\n //\n\n printf(\" test49...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally {\n Counter += 2;\n break;\n }\n\n Counter += 4;\n }\n finally { Counter += 5; }\n\n Counter += 6;\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Break from a doubly nested finally clause in a switch.\n //\n\n printf(\" test50...\");\n Counter = 0;\n Index1 = 1;\n switch (Index2) {\n case BLUE:\n Counter += 100;\n break;\n\n case RED:\n try {\n try {\n if ((Index1 & 0x1) == 1) {\n Counter += 1;\n }\n }\n finally { Counter += 2; }\n\n Counter += 4;\n }\n finally {\n Counter += 5;\n break;\n }\n\n Counter += 6;\n }\n\n if (Counter != 12) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Leave from an if in a simple try/finally.\n //\n\n printf(\" test51...\");\n Counter = 0;\n try {\n if (Echo(Counter) == Counter) {\n Counter += 3;\n leave;\n\n } else {\n Counter += 100;\n }\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Leave from a loop in a simple try/finally.\n //\n\n printf(\" test52...\");\n Counter = 0;\n try {\n for (Index1 = 0; Index1 < 10; Index1 += 1) {\n if (Echo(Index1) == Index1) {\n Counter += 3;\n leave;\n }\n\n Counter += 100;\n }\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Leave from a switch in a simple try/finally.\n //\n\n printf(\" test53...\");\n Counter = 0;\n try {\n switch (Index2) {\n case BLUE:\n break;\n\n case RED:\n Counter += 3;\n leave;\n }\n\n Counter += 100;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Counter != 8) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Leave from an if in doubly nested try/finally followed by a leave\n // from an if in the outer try/finally.\n //\n\n printf(\" test54...\");\n Counter = 0;\n try {\n try {\n if (Echo(Counter) == Counter) {\n Counter += 3;\n leave;\n\n } else {\n Counter += 100;\n }\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Echo(Counter) == Counter) {\n Counter += 3;\n leave;\n\n } else {\n Counter += 100;\n }\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Counter != 16) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#if !defined(WIN_CE) // leave from finally not allowed on WinCE\n //\n // Leave from an if in doubly nested try/finally followed by a leave\n // from the finally of the outer try/finally.\n //\n\n printf(\" test55...\");\n Counter = 0;\n try {\n try {\n if (Echo(Counter) == Counter) {\n Counter += 3;\n leave;\n\n } else {\n Counter += 100;\n }\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n leave;\n }\n }\n\n Counter += 100;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n\n if (Counter != 13) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif\n\n //\n // Try/finally within the except clause of a try/except that is always\n // executed.\n //\n\n printf(\" test56...\");\n Counter = 0;\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(Counter) {\n try {\n Counter += 3;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n }\n\n if (Counter != 9) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Try/finally within the finally clause of a try/finally.\n //\n\n printf(\" test57...\");\n Counter = 0;\n try {\n Counter += 1;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n try {\n Counter += 3;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n }\n }\n\n if (Counter != 9) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Try/except within the finally clause of a try/finally.\n //\n\n printf(\" test58...\");\n#if !defined(NEST_IN_FINALLY)\n printf(\"skipped\\n\");\n#else\n Counter = 0;\n try {\n Counter -= 1;\n }\n finally {\n try {\n Counter += 2;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(Counter) {\n try {\n Counter += 3;\n }\n finally {\n if (abnormal_termination() == FALSE) {\n Counter += 5;\n }\n }\n }\n }\n\n if (Counter != 9) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif /* def(NEST_IN_FINALLY) */\n\n //\n // Try/except within the except clause of a try/except that is always\n // executed.\n //\n\n printf(\" test59...\");\n Counter = 0;\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(Counter) {\n try {\n Counter += 3;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(Counter - 3) { Counter += 5; }\n }\n\n if (Counter != 9) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Try with a Try which exits the scope with a goto\n //\n\n printf(\" test60...\");\n Counter = 0;\n try {\n try {\n goto outside;\n }\n except(1) { Counter += 1; }\n\n outside:\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(1) { Counter += 3; }\n\n if (Counter != 3) {\n printf(\"failed, count = %d\\n\", Counter);\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Try/except which gets an exception from a subfunction within\n // a try/finally which has a try/except in the finally clause\n //\n\n printf(\" test61...\");\n#if !defined(NEST_IN_FINALLY)\n printf(\"skipped\\n\");\n#else\n Counter = 0;\n try {\n Test61Part2(&Counter);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { Counter += 11; }\n\n if (Counter != 24) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n#endif /* def(NEST_IN_FINALLY) */\n\n //\n // Check for precision of exception on floating point\n //\n\n printf(\" test62...\");\n\n#if defined(i386) || defined(_M_IA64) || defined(_M_ALPHA) || defined(_M_AMD64)\n\n/* enable floating point overflow */\n#if defined(i386)\n _control87(_control87(0, 0) & ~EM_OVERFLOW, _MCW_EM);\n#else\n //\n // use portable version of _control87\n //\n _controlfp(_controlfp(0, 0) & ~EM_OVERFLOW, _MCW_EM);\n#endif\n\n Counter = 0;\n try {\n doubleresult = SquareDouble(1.7e300);\n\n try {\n doubleresult = SquareDouble(1.0);\n }\n except(1) { Counter += 3; }\n }\n except(1) { Counter += 1; }\n\n if (Counter != 1) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n/* clear up pending unmasked exceptions and restore FP control registers */\n#if defined(i386)\n _clear87();\n _control87(_control87(0, 0) | EM_OVERFLOW, 0xfffff);\n#else\n _clearfp();\n _controlfp(_controlfp(0, 0) | EM_OVERFLOW, 0xfffff);\n#endif\n\n#else\n printf(\"skipped\\n\");\n#endif\n\n //\n // A try/finally inside a try/except where an exception is raised in the\n // try/finally.\n //\n\n printf(\" test63...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n }\n finally {\n Counter += 3;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n }\n except(1) { Counter += 6; }\n\n if (Counter != 10) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try/finally inside a try/except where an exception is raised in the\n // in the try/except and the try/finally.\n //\n\n printf(\" test64...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally {\n Counter += 3;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n }\n except(1) { Counter += 6; }\n\n if (Counter != 10) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try/finally inside a try/except where an exception is raised in the\n // try/finally.\n //\n\n printf(\" test65...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n }\n finally {\n Counter += 3;\n *BlackHole += *BadAddress;\n Counter += 13;\n }\n }\n except(1) { Counter += 6; }\n\n if (Counter != 10) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try/finally inside a try/except where an exception is raised in the\n // in the try/except and the try/finally.\n //\n\n printf(\" test66...\");\n Counter = 0;\n try {\n try {\n Counter += 1;\n *BlackHole += *BadAddress;\n Counter += 13;\n }\n finally {\n Counter += 3;\n *BlackHole += *BadAddress;\n Counter += 13;\n }\n }\n except(1) { Counter += 6; }\n\n if (Counter != 10) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try/finally inside a try/finally inside a try/except where an\n // exception is raised in the in the try/except and in try/finally.\n //\n\n printf(\" test67...\");\n try {\n try {\n *BlackHole += *BadAddress;\n }\n finally {\n try {\n Counter = 0;\n }\n finally {\n if (Counter != 0) {\n Counter += 1;\n }\n }\n\n Counter += 1;\n *BlackHole += *BadAddress;\n }\n }\n except(1) { Counter += 1; }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // A try/finally inside a try/finally inside a try/except where an\n // exception is raised in the in the try/except and in try/finally.\n //\n\n printf(\" test68...\");\n try {\n try {\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally {\n try {\n Counter = 0;\n }\n finally {\n if (Counter != 0) {\n Counter += 1;\n }\n }\n\n Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n }\n except(1) { Counter += 1; }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n//\n// Patch guard test 69.\n//\n\n#if defined(_AMD64_) || defined(_X86_)\n\n printf(\" test69...\");\n Counter = 0;\n try {\n PgTest69(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test70...\");\n Counter = 0;\n try {\n PgTest70(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 2) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test71...\");\n Counter = 0;\n try {\n PgTest71(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 9) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test72...\");\n Counter = 0;\n try {\n PgTest72(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 12) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test73...\");\n Counter = 0;\n try {\n PgTest73(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 15) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test74...\");\n Counter = 0;\n try {\n PgTest74(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 18) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test75...\");\n Counter = 0;\n try {\n PgTest75(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 35) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test76...\");\n Counter = 0;\n try {\n PgTest76(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 40) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test77...\");\n Counter = 0;\n try {\n PgTest77(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 45) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test78...\");\n Counter = 0;\n try {\n PgTest78(&Counter, BadAddress);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { printf(\"unexpected exception...\"); }\n\n if (Counter != 50) {\n printf(\"failed, count = %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n#else\n printf(\" test69...filter entered...succeeded\\n\");\n printf(\" test70...filter entered...succeeded\\n\");\n printf(\" test71...filter entered...succeeded\\n\");\n printf(\" test72...filter entered...succeeded\\n\");\n printf(\" test73...filter entered...succeeded\\n\");\n printf(\" test74...filter entered...succeeded\\n\");\n printf(\" test75...filter entered...succeeded\\n\");\n printf(\" test76...filter entered...succeeded\\n\");\n printf(\" test77...filter entered...succeeded\\n\");\n printf(\" test78...filter entered...succeeded\\n\");\n#endif\n\n if (LOBYTE(LOWORD(GetVersion())) < 6) {\n printf(\" test79...\");\n printf(\"filter 1...filter 2...finally 1...filter 1...filter 2...finally \"\n \"2...passed\\n\");\n } else {\n\n printf(\" test79...\");\n Counter = 0;\n try {\n Test79(&Counter, BadAddress);\n }\n except(printf(\"filter 2...\"), EXCEPTION_EXECUTE_HANDLER) { Counter += 1; }\n\n if (Counter == 3) {\n printf(\"passed\\n\");\n\n } else {\n printf(\"failed %d \\n\", Counter);\n }\n }\n\n printf(\" test80...\");\n if (Test80() != 0) {\n printf(\"failed\\n\");\n\n } else {\n printf(\"passed\\n\");\n }\n\n printf(\" test81...\");\n Counter = 0;\n Test81(&Counter);\n if (Counter != 1) {\n printf(\"failed %d \\n\", Counter);\n\n } else {\n printf(\"passed\\n\");\n }\n\n printf(\" test82...\");\n Counter = 1;\n Test82(&Counter);\n if (Counter != 0) {\n printf(\"failed\\n\");\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test83...\");\n if (Test83() != 0) {\n printf(\"failed\\n\");\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test84...\");\n Counter = 0;\n Test84(&Counter);\n if (Counter != 2) {\n printf(\"failed\\n\");\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test85...\");\n Counter = 0;\n Test85(&Counter);\n if (Counter != 7) {\n printf(\"failed\\n\");\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test86...\");\n Counter = 0;\n Test86(&Counter);\n if (Counter != 4) {\n printf(\"failed %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test87...\");\n Counter = 0;\n Test87(&Counter);\n if (Counter != 104) {\n printf(\"failed %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n printf(\" test88...\");\n Counter = 0;\n Test88(&Counter);\n if (Counter != 6) {\n printf(\"failed %d\\n\", Counter);\n\n } else {\n printf(\"succeeded\\n\");\n }\n\n //\n // Announce end of exception test.\n //\n\n printf(\"End of exception test\\n\");\n return;\n}\n\n#pragma optimize(\"a\", off)\nVOID addtwo(long First, long Second, long *Place)\n\n{\n\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n *Place = First + Second;\n return;\n}\n#pragma optimize(\"\", on)\n\nVOID bar1(IN NTSTATUS Status, IN PLONG Counter) {\n\n try {\n foo1(Status);\n }\n finally {\n if (abnormal_termination() != FALSE) {\n *Counter = 99;\n\n } else {\n *Counter = 100;\n }\n }\n\n return;\n}\n\nVOID bar2(IN PLONG BlackHole, IN PLONG BadAddress, IN PLONG Counter) {\n\n try {\n foo2(BlackHole, BadAddress);\n }\n finally {\n if (abnormal_termination() != FALSE) {\n *Counter = 99;\n\n } else {\n *Counter = 100;\n }\n }\n\n return;\n}\n\nVOID dojump(IN jmp_buf JumpBuffer, IN PLONG Counter)\n\n{\n\n try {\n try {\n *Counter += 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally { *Counter += 1; }\n }\n finally {\n *Counter += 1;\n longjmp(JumpBuffer, 1);\n }\n}\n\n#if !defined(WIN_CE) // return through finally not allowed on WinCE\nVOID eret(IN NTSTATUS Status, IN PLONG Counter)\n\n{\n\n try {\n try {\n foo1(Status);\n }\n except((GetExceptionCode() == Status) ? EXCEPTION_EXECUTE_HANDLER\n : EXCEPTION_CONTINUE_SEARCH) {\n *Counter += 1;\n return;\n }\n }\n finally { *Counter += 1; }\n\n return;\n}\n#endif\n\nVOID except1(IN PLONG Counter)\n\n{\n\n try {\n *Counter += 5;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(except3(GetExceptionInformation(), Counter)) { *Counter += 7; }\n\n *Counter += 9;\n return;\n}\n\nULONG\nexcept2(IN PEXCEPTION_POINTERS ExceptionPointers, IN PLONG Counter)\n\n{\n\n PEXCEPTION_RECORD ExceptionRecord;\n\n ExceptionRecord = ExceptionPointers->ExceptionRecord;\n if ((ExceptionRecord->ExceptionCode == STATUS_UNSUCCESSFUL) &&\n ((ExceptionRecord->ExceptionFlags & EXCEPTION_NESTED_CALL) == 0)) {\n *Counter += 11;\n return EXCEPTION_EXECUTE_HANDLER;\n\n } else {\n *Counter += 13;\n return EXCEPTION_CONTINUE_SEARCH;\n }\n}\n\nULONG\nexcept3(IN PEXCEPTION_POINTERS ExceptionPointers, IN PLONG Counter)\n\n{\n\n PEXCEPTION_RECORD ExceptionRecord;\n\n ExceptionRecord = ExceptionPointers->ExceptionRecord;\n if ((ExceptionRecord->ExceptionCode == STATUS_INTEGER_OVERFLOW) &&\n ((ExceptionRecord->ExceptionFlags & EXCEPTION_NESTED_CALL) == 0)) {\n *Counter += 17;\n RtlRaiseStatus(STATUS_UNSUCCESSFUL);\n\n } else if ((ExceptionRecord->ExceptionCode == STATUS_UNSUCCESSFUL) &&\n ((ExceptionRecord->ExceptionFlags & EXCEPTION_NESTED_CALL) != 0)) {\n *Counter += 19;\n return EXCEPTION_CONTINUE_SEARCH;\n }\n\n *Counter += 23;\n return EXCEPTION_EXECUTE_HANDLER;\n}\n\nVOID foo1(IN NTSTATUS Status)\n\n{\n\n //\n // Raise exception.\n //\n\n RtlRaiseStatus(Status);\n return;\n}\n\nVOID foo2(IN PLONG BlackHole, IN PLONG BadAddress)\n\n{\n\n //\n // Raise exception.\n //\n\n *BlackHole += *BadAddress;\n return;\n}\n\n#if !defined(WIN_CE) // return from finally not allowed on WinCE\nVOID fret(IN PLONG Counter)\n\n{\n\n try {\n try {\n *Counter += 1;\n }\n finally {\n *Counter += 1;\n return;\n }\n }\n finally { *Counter += 1; }\n\n return;\n}\n#endif\n\nLONG Echo(IN LONG Value)\n\n{\n return Value;\n}\n\n#if defined(NEST_IN_FINALLY)\nVOID Test61Part2(IN OUT PULONG Counter) {\n try {\n *Counter -= 1;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n finally {\n try {\n *Counter += 2;\n RtlRaiseStatus(STATUS_INTEGER_OVERFLOW);\n }\n except(EXCEPTION_EXECUTE_HANDLER) { *Counter += 5; }\n *Counter += 7;\n }\n}\n#endif /* def(NEST_IN_FINALLY) */\n\ndouble SquareDouble(IN double op) {\n return exp(2.0 * log(op));\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.cameligo.txt",
|
||
"content": "type storage = int\ntype parameter =\n Increment of int\n| Decrement of int\n| Reset\ntype return = operation list * storage\n// Two entrypoints\nlet add (store, delta : storage * int) : storage = store + delta\nlet sub (store, delta : storage * int) : storage = store - delta\n(* Main access point that dispatches to the entrypoints according to\n the smart contract parameter. *)\nlet main (action, store : parameter * storage) : return =\n ([] : operation list), // No operations\n (match action with\n Increment (n) -> add (store, n)\n | Decrement (n) -> sub (store, n)\n | Reset -> 0)\n"
|
||
},
|
||
{
|
||
"name": "sample.clojure.txt",
|
||
"content": "(ns game-of-life\n \"Conway's Game of Life, based on the work of\n Christophe Grand (http://clj-me.cgrand.net/2011/08/19/conways-game-of-life)\n and Laurent Petit (https://gist.github.com/1200343).\")\n\n;;; Core game of life's algorithm functions\n\n(defn neighbors\n \"Given a cell's coordinates `[x y]`, returns the coordinates of its\n neighbors.\"\n [[x y]]\n (for [dx [-1 0 1]\n dy (if (zero? dx)\n [-1 1]\n [-1 0 1])]\n [(+ dx x) (+ dy y)]))\n\n(defn step\n \"Given a set of living `cells`, computes the new set of living cells.\"\n [cells]\n (set (for [[cell n] (frequencies (mapcat neighbors cells))\n :when (or (= n 3)\n (and (= n 2)\n (cells cell)))]\n cell)))\n\n;;; Utility methods for displaying game on a text terminal\n\n(defn print-grid\n \"Prints a `grid` of `w` columns and `h` rows, on *out*, representing a\n step in the game.\"\n [grid w h]\n (doseq [x (range (inc w))\n y (range (inc h))]\n (when (= y 0) (println))\n (print (if (grid [x y])\n \"[X]\"\n \" . \"))))\n\n(defn print-grids\n \"Prints a sequence of `grids` of `w` columns and `h` rows on *out*,\n representing several steps.\"\n [grids w h]\n (doseq [grid grids]\n (print-grid grid w h)\n (println)))\n\n;;; Launches an example grid\n\n(def grid\n \"`grid` represents the initial set of living cells\"\n #{[2 1] [2 2] [2 3]})\n\n(print-grids (take 3 (iterate step grid)) 5 5)"
|
||
},
|
||
{
|
||
"name": "sample.coffeescript.txt",
|
||
"content": "\"\"\"\nA CoffeeScript sample.\n\"\"\"\n\nclass Vehicle\n constructor: (@name) =>\n \n drive: () =>\n alert \"Conducting #{@name}\"\n\nclass Car extends Vehicle\n drive: () =>\n alert \"Driving #{@name}\"\n\nc = new Car \"Brandie\"\n\nwhile notAtDestination()\n c.drive()\n\nraceVehicles = (new Car for i in [1..100])\n\nstartRace = (vehicles) -> [vehicle.drive() for vehicle in vehicles]\n\nfancyRegExp = ///\n\t(\\d+)\t# numbers\n\t(\\w*)\t# letters\n\t$\t\t# the end\n///\n"
|
||
},
|
||
{
|
||
"name": "sample.cpp.txt",
|
||
"content": "#include \"pch.h\"\n#include \"Direct3DBase.h\"\n\nusing namespace Microsoft::WRL;\nusing namespace Windows::UI::Core;\nusing namespace Windows::Foundation;\n\n// Constructor.\nDirect3DBase::Direct3DBase()\n{\n}\n\n// Initialize the Direct3D resources required to run.\nvoid Direct3DBase::Initialize(CoreWindow^ window)\n{\n m_window = window;\n \n CreateDeviceResources();\n CreateWindowSizeDependentResources();\n}\n\n// These are the resources that depend on the device.\nvoid Direct3DBase::CreateDeviceResources()\n{\n // This flag adds support for surfaces with a different color channel ordering than the API default.\n // It is recommended usage, and is required for compatibility with Direct2D.\n UINT creationFlags = D3D11_CREATE_DEVICE_BGRA_SUPPORT;\n\n#if defined(_DEBUG)\n // If the project is in a debug build, enable debugging via SDK Layers with this flag.\n creationFlags |= D3D11_CREATE_DEVICE_DEBUG;\n#endif\n\n // This array defines the set of DirectX hardware feature levels this app will support.\n // Note the ordering should be preserved.\n // Don't forget to declare your application's minimum required feature level in its\n // description. All applications are assumed to support 9.1 unless otherwise stated.\n D3D_FEATURE_LEVEL featureLevels[] = \n {\n D3D_FEATURE_LEVEL_11_1,\n D3D_FEATURE_LEVEL_11_0,\n D3D_FEATURE_LEVEL_10_1,\n D3D_FEATURE_LEVEL_10_0,\n D3D_FEATURE_LEVEL_9_3,\n D3D_FEATURE_LEVEL_9_2,\n D3D_FEATURE_LEVEL_9_1\n };\n\n // Create the DX11 API device object, and get a corresponding context.\n ComPtr<ID3D11Device> device;\n ComPtr<ID3D11DeviceContext> context;\n DX::ThrowIfFailed(\n D3D11CreateDevice(\n nullptr, // specify null to use the default adapter\n D3D_DRIVER_TYPE_HARDWARE,\n nullptr, // leave as nullptr unless software device\n creationFlags, // optionally set debug and Direct2D compatibility flags\n featureLevels, // list of feature levels this app can support\n ARRAYSIZE(featureLevels), // number of entries in above list\n D3D11_SDK_VERSION, // always set this to D3D11_SDK_VERSION\n &device, // returns the Direct3D device created\n &m_featureLevel, // returns feature level of device created\n &context // returns the device immediate context\n )\n );\n\n // Get the DirectX11.1 device by QI off the DirectX11 one.\n DX::ThrowIfFailed(\n device.As(&m_d3dDevice)\n );\n\n // And get the corresponding device context in the same way.\n DX::ThrowIfFailed(\n context.As(&m_d3dContext)\n );\n}\n\n// Allocate all memory resources that change on a window SizeChanged event.\nvoid Direct3DBase::CreateWindowSizeDependentResources()\n{ \n // Store the window bounds so the next time we get a SizeChanged event we can\n // avoid rebuilding everything if the size is identical.\n m_windowBounds = m_window->Bounds;\n\n // If the swap chain already exists, resize it.\n if(m_swapChain != nullptr)\n {\n DX::ThrowIfFailed(\n m_swapChain->ResizeBuffers(2, 0, 0, DXGI_FORMAT_B8G8R8A8_UNORM, 0)\n );\n }\n // Otherwise, create a new one.\n else\n {\n // Create a descriptor for the swap chain.\n DXGI_SWAP_CHAIN_DESC1 swapChainDesc = {0};\n swapChainDesc.Width = 0; // use automatic sizing\n swapChainDesc.Height = 0;\n swapChainDesc.Format = DXGI_FORMAT_B8G8R8A8_UNORM; // this is the most common swapchain format\n swapChainDesc.Stereo = false; \n swapChainDesc.SampleDesc.Count = 1; // don't use multi-sampling\n swapChainDesc.SampleDesc.Quality = 0;\n swapChainDesc.BufferUsage = DXGI_USAGE_RENDER_TARGET_OUTPUT;\n swapChainDesc.BufferCount = 2; // use two buffers to enable flip effect\n swapChainDesc.Scaling = DXGI_SCALING_NONE;\n swapChainDesc.SwapEffect = DXGI_SWAP_EFFECT_FLIP_SEQUENTIAL; // we recommend using this swap effect for all applications\n swapChainDesc.Flags = 0;\n\n // Once the desired swap chain description is configured, it must be created on the same adapter as our D3D Device\n\n // First, retrieve the underlying DXGI Device from the D3D Device\n ComPtr<IDXGIDevice1> dxgiDevice;\n DX::ThrowIfFailed(\n m_d3dDevice.As(&dxgiDevice)\n );\n\n // Identify the physical adapter (GPU or card) this device is running on.\n ComPtr<IDXGIAdapter> dxgiAdapter;\n DX::ThrowIfFailed(\n dxgiDevice->GetAdapter(&dxgiAdapter)\n );\n\n // And obtain the factory object that created it.\n ComPtr<IDXGIFactory2> dxgiFactory;\n DX::ThrowIfFailed(\n dxgiAdapter->GetParent(\n __uuidof(IDXGIFactory2), \n &dxgiFactory\n )\n );\n\n\t\tWindows::UI::Core::CoreWindow^ p = m_window.Get();\n\n // Create a swap chain for this window from the DXGI factory.\n DX::ThrowIfFailed(\n dxgiFactory->CreateSwapChainForCoreWindow(\n m_d3dDevice.Get(),\n reinterpret_cast<IUnknown*>(p),\n &swapChainDesc,\n nullptr, // allow on all displays\n &m_swapChain\n )\n );\n \n // Ensure that DXGI does not queue more than one frame at a time. This both reduces \n // latency and ensures that the application will only render after each VSync, minimizing \n // power consumption.\n DX::ThrowIfFailed(\n dxgiDevice->SetMaximumFrameLatency(1)\n );\n }\n \n // Obtain the backbuffer for this window which will be the final 3D rendertarget.\n ComPtr<ID3D11Texture2D> backBuffer;\n DX::ThrowIfFailed(\n m_swapChain->GetBuffer(\n 0,\n __uuidof(ID3D11Texture2D),\n &backBuffer\n )\n );\n\n // Create a view interface on the rendertarget to use on bind.\n DX::ThrowIfFailed(\n m_d3dDevice->CreateRenderTargetView(\n backBuffer.Get(),\n nullptr,\n &m_renderTargetView\n )\n );\n\n // Cache the rendertarget dimensions in our helper class for convenient use.\n D3D11_TEXTURE2D_DESC backBufferDesc;\n backBuffer->GetDesc(&backBufferDesc);\n m_renderTargetSize.Width = static_cast<float>(backBufferDesc.Width);\n m_renderTargetSize.Height = static_cast<float>(backBufferDesc.Height);\n\n // Create a descriptor for the depth/stencil buffer.\n CD3D11_TEXTURE2D_DESC depthStencilDesc(\n DXGI_FORMAT_D24_UNORM_S8_UINT, \n backBufferDesc.Width,\n backBufferDesc.Height,\n 1,\n 1,\n D3D11_BIND_DEPTH_STENCIL);\n\n // Allocate a 2-D surface as the depth/stencil buffer.\n ComPtr<ID3D11Texture2D> depthStencil;\n DX::ThrowIfFailed(\n m_d3dDevice->CreateTexture2D(\n &depthStencilDesc,\n nullptr,\n &depthStencil\n )\n );\n\n // Create a DepthStencil view on this surface to use on bind.\n DX::ThrowIfFailed(\n m_d3dDevice->CreateDepthStencilView(\n depthStencil.Get(),\n &CD3D11_DEPTH_STENCIL_VIEW_DESC(D3D11_DSV_DIMENSION_TEXTURE2D),\n &m_depthStencilView\n )\n );\n\n // Create a viewport descriptor of the full window size.\n CD3D11_VIEWPORT viewPort(\n 0.0f,\n 0.0f,\n static_cast<float>(backBufferDesc.Width),\n static_cast<float>(backBufferDesc.Height)\n );\n \n // Set the current viewport using the descriptor.\n m_d3dContext->RSSetViewports(1, &viewPort);\n}\n\nvoid Direct3DBase::UpdateForWindowSizeChange()\n{\n if (m_window->Bounds.Width != m_windowBounds.Width ||\n m_window->Bounds.Height != m_windowBounds.Height)\n {\n m_renderTargetView = nullptr;\n m_depthStencilView = nullptr;\n CreateWindowSizeDependentResources();\n }\n}\n\nvoid Direct3DBase::Present()\n{\n // The first argument instructs DXGI to block until VSync, putting the application\n // to sleep until the next VSync. This ensures we don't waste any cycles rendering\n // frames that will never be displayed to the screen.\n HRESULT hr = m_swapChain->Present(1, 0);\n\n // If the device was removed either by a disconnect or a driver upgrade, we \n // must completely reinitialize the renderer.\n if (hr == DXGI_ERROR_DEVICE_REMOVED || hr == DXGI_ERROR_DEVICE_RESET)\n {\n Initialize(m_window.Get());\n }\n else\n {\n DX::ThrowIfFailed(hr);\n }\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.csharp.txt",
|
||
"content": "/*\r\n* C# Program to Display All the Prime Numbers Between 1 to 100\r\n*/\r\n\r\nusing System;\r\nusing System.Collections.Generic;\r\nusing System.Linq;\r\nusing System.Text;\r\n\r\nnamespace VS\r\n{\r\n\tclass Program\r\n\t{\r\n\t\tstatic void Main(string[] args)\r\n \t\t{\r\n\t\t\tbool isPrime = true;\r\n\t\t\tConsole.WriteLine(\"Prime Numbers : \");\r\n\t\t\tfor (int i = 2; i <= 100; i++)\r\n\t\t\t{\r\n\t\t\t\tfor (int j = 2; j <= 100; j++)\r\n\t\t\t\t{\r\n\t\t\t\t\tif (i != j && i % j == 0)\r\n\t\t\t\t\t{\r\n\t\t\t\t\t\tisPrime = false;\r\n\t\t\t\t\t\tbreak;\r\n\t\t\t\t\t}\r\n\t\t\t\t}\r\n\t\t\t\t\r\n\t\t\t\tif (isPrime)\r\n\t\t\t\t{\r\n\t\t\t\t\tConsole.Write(\"\\t\" +i);\r\n\t\t\t\t}\r\n\t\t\t\tisPrime = true;\r\n\t\t\t}\r\n\t\t\tConsole.ReadKey();\r\n\t\t}\r\n\t}\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "sample.csp.txt",
|
||
"content": "Content-Security-Policy: default-src 'self'; img-src *; media-src media1.com media2.com; script-src userscripts.example.com"
|
||
},
|
||
{
|
||
"name": "sample.css.txt",
|
||
"content": "html {\r\n background-color: #e2e2e2;\r\n margin: 0;\r\n padding: 0;\r\n}\r\n\r\nbody {\r\n background-color: #fff;\r\n border-top: solid 10px #000;\r\n color: #333;\r\n font-size: .85em;\r\n font-family: \"Segoe UI\",\"HelveticaNeue-Light\", sans-serif;\r\n margin: 0;\r\n padding: 0;\r\n}\r\n\r\na:link, a:visited, \r\na:active, a:hover {\r\n color: #333;\r\n outline: none;\r\n padding-left: 0;\r\n padding-right: 3px;\r\n text-decoration: none;\r\n \r\n}\r\n\r\n\r\na:hover {\r\n background-color: #c7d1d6;\r\n}\r\n\r\n\r\nheader, footer, hgroup\r\nnav, section {\r\n display: block;\r\n}\r\n\r\n.float-left {\r\n float: left;\r\n}\r\n\r\n.float-right {\r\n float: right;\r\n}\r\n\r\n.highlight {\r\n/* background-color: #a6dbed;\r\n padding-left: 5px;\r\n padding-right: 5px;*/\r\n}\r\n\r\n.clear-fix:after {\r\n content: \".\";\r\n clear: both;\r\n display: block;\r\n height: 0;\r\n visibility: hidden;\r\n}\r\n\r\nh1, h2, h3, \r\nh4, h5, h6 {\r\n color: #000;\r\n margin-bottom: 0;\r\n padding-bottom: 0;\r\n \r\n}\r\n\r\nh1 {\r\n font-size: 2em; \r\n}\r\n\r\nh2 {\r\n font-size: 1.75em;\r\n}\r\n\r\nh3 {\r\n font-size: 1.2em;\r\n}\r\n\r\nh4 {\r\n font-size: 1.1em;\r\n}\r\n\r\nh5, h6 {\r\n font-size: 1em;\r\n}\r\n\r\n\r\n.tile {\r\n /* 2px solid #7ac0da; */\r\n border: 0;\r\n \r\n float: left;\r\n width: 200px;\r\n height: 325px;\r\n \r\n padding: 5px;\r\n margin-right: 5px;\r\n margin-bottom: 20px;\r\n margin-top: 20px;\r\n -webkit-perspective: 0;\r\n -webkit-transform-style: preserve-3d;\r\n -webkit-transition: -webkit-transform 0.2s;\r\n -webkit-box-shadow: 0 1px 1px rgba(0,0,0,0.3);\r\n background-position: center center;\r\n background-repeat: no-repeat;\r\n \r\n background-color: #fff;\r\n}\r\n\r\n.tile-item {\r\n /* 2px solid #7ac0da; */\r\n border-color: inherit;\r\n float: left;\r\n width: 50px;\r\n height: 70px;\r\n margin-right: 20px;\r\n margin-bottom: 20px;\r\n margin-top: 20px;\r\n background-image: url('../Images/documents.png');\r\n background-repeat: no-repeat;\r\n \r\n}\r\n\r\n.tile-wrapper {\r\n width: 100%;\r\n font-family: \"Segoe UI\" , Tahoma, Geneva, Verdana, sans-serif;\r\n line-height: 21px;\r\n font-size: 14px;\r\n}\r\n\r\na.blue-box {\r\n font-size: 28px;\r\n height: 100px;\r\n display: block;\r\n border-style: solid;\r\n border-width: 1px 1px 4px 1px;\r\n border-color: #C0C0C0 #C0C0C0 #8ABAE4 #C0C0C0;\r\n padding-top: 15px;\r\n padding-left: 15px;\r\n}\r\n\r\n a.blue-box:hover {\r\n border: 4px solid #8ABAE4; \r\n padding-top: 12px;\r\n padding-left: 12px;\r\n background-color: #FFFFFF;\r\n}\r\n \r\na.green-box {\r\n font-size: 28px;\r\n height: 100px;\r\n display: block;\r\n border-style: solid;\r\n border-width: 1px 1px 4px 1px;\r\n border-color: #C0C0C0 #C0C0C0 #9CCF42 #C0C0C0;\r\n padding-top: 15px;\r\n padding-left: 15px;\r\n}\r\n\r\n a.green-box:hover {\r\n border: 4px solid #9CCF42; \r\n padding-top: 12px;\r\n padding-left: 12px;\r\n background-color: #FFFFFF;\r\n}\r\n\r\n\r\na.green-box2 {\r\n font-size: 14px;\r\n height: 48px;\r\n width: 48px;\r\n display: block; /* border-color: #C0C0C0; */\r\n padding-top: 6px;\r\n font-weight: bold;\r\n \r\n}\r\n\r\n a.green-box2:hover {\r\n border: solid #8ABAE4;\r\n padding-top: 0px;\r\n padding-left: 0px;\r\n background-image: url('../Images/documents.png');\r\n background-color: #EFEFEF;\r\n}\r\n\r\na.yellow-box {\r\n font-size: 28px;\r\n height: 100px;\r\n display: block;\r\n border-style: solid;\r\n border-width: 1px 1px 4px 1px;\r\n border-color: #C0C0C0 #C0C0C0 #DECF6B #C0C0C0;\r\n padding-top: 15px;\r\n padding-left: 15px;\r\n}\r\n\r\n a.yellow-box:hover {\r\n border: 4px solid #DECF6B; \r\n padding-top: 12px;\r\n padding-left: 12px;\r\n background-color: #FFFFFF;\r\n}\r\n \r\n \r\na.red-box {\r\n font-size: 28px;\r\n height: 100px;\r\n display: block;\r\n border-style: solid;\r\n border-width: 1px 1px 4px 1px;\r\n border-color: #C0C0C0 #C0C0C0 #F79E84 #C0C0C0;\r\n padding-top: 15px;\r\n padding-left: 15px;\r\n}\r\n\r\n a.red-box:hover {\r\n border: 4px solid #F79E84; \r\n padding-top: 12px;\r\n padding-left: 12px;\r\n background-color: #FFFFFF;\r\n}\r\n \r\n/* main layout \r\n----------------------------------------------------------*/\r\n.content-wrapper {\r\n margin: 0 auto;\r\n max-width: 960px;\r\n}\r\n\r\n#body {\r\n background-color: #efeeef;\r\n clear: both;\r\n padding-bottom: 35px;\r\n}\r\n\r\n .main-content {\r\n background: url(\"../images/accent.png\") no-repeat;\r\n padding-left: 10px;\r\n padding-top: 30px;\r\n }\r\n\r\n .featured + .main-content {\r\n background: url(\"../images/heroaccent.png\") no-repeat;\r\n }\r\n\r\nfooter {\r\n clear: both;\r\n background-color: #e2e2e2;\r\n font-size: .8em;\r\n height: 100px;\r\n}\r\n\r\n\r\n/* site title\r\n----------------------------------------------------------*/\r\n.site-title {\r\n color: #0066CC; /* font-family: Rockwell, Consolas, \"Courier New\", Courier, monospace; */\r\n font-size: 3.3em;\r\n margin-top: 40px;\r\n margin-bottom: 0;\r\n}\r\n\r\n.site-title a, .site-title a:hover, .site-title a:active {\r\n background: none;\r\n color: #0066CC;\r\n outline: none;\r\n text-decoration: none;\r\n}\r\n\r\n\r\n/* login \r\n----------------------------------------------------------*/\r\n#login {\r\n display: block;\r\n font-size: .85em;\r\n margin-top: 20px;\r\n text-align: right;\r\n}\r\n\r\n #login a {\r\n background-color: #d3dce0;\r\n margin-left: 10px;\r\n margin-right: 3px;\r\n padding: 2px 3px;\r\n text-decoration: none;\r\n }\r\n \r\n #login a.username {\r\n background: none;\r\n margin-left: 0px;\r\n text-decoration: underline;\r\n }\r\n\r\n #login li {\r\n display: inline;\r\n list-style: none;\r\n }\r\n \r\n \r\n/* menu \r\n----------------------------------------------------------*/\r\nul#menu {\r\n font-size: 1.3em;\r\n font-weight: 600;\r\n margin: 0;\r\n text-align: right;\r\n text-decoration: none;\r\n\r\n}\r\n\r\n ul#menu li {\r\n display: inline;\r\n list-style: none;\r\n padding-left: 15px;\r\n }\r\n\r\n ul#menu li a {\r\n background: none;\r\n color: #999;\r\n text-decoration: none;\r\n }\r\n\r\n ul#menu li a:hover {\r\n color: #333;\r\n text-decoration: none;\r\n }\r\n\r\n\r\n\r\n/* page elements \r\n----------------------------------------------------------*/\r\n/* featured */\r\n.featured {\r\n background-color: #fff;\r\n}\r\n\r\n .featured .content-wrapper {\r\n /*background-color: #7ac0da;\r\n background-image: -ms-linear-gradient(left, #7AC0DA 0%, #A4D4E6 100%);\r\n background-image: -o-linear-gradient(left, #7AC0DA 0%, #A4D4E6 100%);\r\n background-image: -webkit-gradient(linear, left top, right top, color-stop(0, #7AC0DA), color-stop(1, #A4D4E6));\r\n background-image: -webkit-linear-gradient(left, #7AC0DA 0%, #A4D4E6 100%);\r\n background-image: linear-gradient(left, #7AC0DA 0%, #A4D4E6 100%);\r\n color: #3e5667;\r\n */\r\n padding: 0px 40px 30px 40px;\r\n }\r\n\r\n .featured hgroup.title h1, .featured hgroup.title h2 {\r\n /* color: #fff;\r\n */\r\n }\r\n\r\n .featured p {\r\n font-size: 1.1em;\r\n }\r\n\r\n/* page titles */ \r\nhgroup.title {\r\n margin-bottom: 10px;\r\n}\r\n\r\nhgroup.title h1, hgroup.title h2 {\r\ndisplay: inline;\r\n}\r\n\r\nhgroup.title h2 {\r\n font-weight: normal;\r\n}\r\n\r\n/* releases */\r\n.milestone {\r\n color: #fff;\r\n background-color: #8ABAE4;\r\n font-weight: normal;\r\n padding: 10px 10px 10px 10px;\r\n margin: 0 0 0 0;\r\n}\r\n .milestone .primary {\r\n font-size: 1.75em;\r\n }\r\n\r\n .milestone .secondary {\r\n font-size: 1.2em;\r\n font-weight: normal;\r\n /* padding: 5px 5px 5px 10px;*/\r\n }\r\n \r\n/* features */\r\nsection.feature {\r\n width: 200px;\r\n float: left;\r\n padding: 10px;\r\n}\r\n\r\n/* ordered list */\r\nol.round {\r\n list-style-type: none;\r\n padding-left: 0;\r\n}\r\n\r\n ol.round li {\r\n margin: 25px 0;\r\n padding-left: 45px;\r\n }\r\n \r\n ol.round li.one {\r\n background: url(\"../images/orderedlistOne.png\") no-repeat; \r\n }\r\n \r\n ol.round li.two {\r\n background: url(\"../images/orderedlistTwo.png\") no-repeat; \r\n }\r\n \r\n ol.round li.three {\r\n background: url(\"../images/orderedlistThree.png\") no-repeat; \r\n }\r\n \r\n/* content */ \r\narticle {\r\n float: left;\r\n width: 70%;\r\n}\r\n\r\naside {\r\n float: right;\r\n width: 25%;\r\n}\r\n\r\n aside ul {\r\n list-style: none;\r\n padding: 0;\r\n }\r\n \r\n aside ul li {\r\n background: url(\"../images/bullet.png\") no-repeat 0 50%;\r\n padding: 2px 0 2px 20px;\r\n }\r\n \r\n.label {\r\n font-weight: 700;\r\n}\r\n\r\n/* login page */ \r\n#loginForm {\r\n border-right: solid 2px #c8c8c8;\r\n float: left;\r\n width: 45%;\r\n}\r\n\r\n #loginForm .validation-error {\r\n display: block;\r\n margin-left: 15px;\r\n }\r\n\r\n#socialLoginForm {\r\n margin-left: 40px;\r\n float: left;\r\n width: 50%;\r\n}\r\n\r\n/* contact */\r\n.contact h3 {\r\n font-size: 1.2em;\r\n}\r\n\r\n.contact p {\r\n margin: 5px 0 0 10px;\r\n}\r\n\r\n.contact iframe {\r\n border: solid 1px #333;\r\n margin: 5px 0 0 10px;\r\n}\r\n\r\n/* forms */\r\nfieldset {\r\n border: none;\r\n margin: 0;\r\n padding: 0;\r\n}\r\n\r\n fieldset legend {\r\n display: none;\r\n }\r\n \r\n fieldset ol {\r\n padding: 0;\r\n list-style: none;\r\n }\r\n \r\n fieldset ol li {\r\n padding-bottom: 5px;\r\n }\r\n \r\n fieldset label {\r\n display: block;\r\n font-size: 1.2em;\r\n font-weight: 600;\r\n }\r\n \r\n fieldset label.checkbox {\r\n display: inline;\r\n }\r\n \r\n fieldset input[type=\"text\"], \r\n fieldset input[type=\"password\"] {\r\n border: 1px solid #e2e2e2;\r\n color: #333;\r\n font-size: 1.2em;\r\n margin: 5px 0 6px 0;\r\n padding: 5px;\r\n width: 300px;\r\n }\r\n \r\n fieldset input[type=\"text\"]:focus, \r\n fieldset input[type=\"password\"]:focus {\r\n border: 1px solid #7ac0da;\r\n }\r\n \r\n fieldset input[type=\"submit\"] {\r\n background-color: #d3dce0;\r\n border: solid 1px #787878;\r\n cursor: pointer;\r\n font-size: 1.2em;\r\n font-weight: 600;\r\n padding: 7px;\r\n }\r\n\r\n/* ajax login/registration dialog */\r\n.modal-popup {\r\n font-size: 0.7em;\r\n}\r\n\r\n/* info and errors */ \r\n.message-info {\r\n border: solid 1px;\r\n clear: both;\r\n padding: 10px 20px;\r\n}\r\n\r\n.message-error {\r\n clear: both;\r\n color: #e80c4d;\r\n font-size: 1.1em;\r\n font-weight: bold;\r\n margin: 20px 0 10px 0;\r\n}\r\n\r\n.message-success {\r\n color: #7ac0da;\r\n font-size: 1.3em;\r\n font-weight: bold;\r\n margin: 20px 0 10px 0;\r\n}\r\n\r\n.success {\r\n color: #7ac0da;\r\n}\r\n\r\n.error {\r\n color: #e80c4d;\r\n}\r\n\r\n/* styles for validation helpers */\r\n.field-validation-error {\r\n color: #e80c4d;\r\n font-weight: bold;\r\n}\r\n\r\n.field-validation-valid {\r\n display: none;\r\n}\r\n\r\ninput[type=\"text\"].input-validation-error,\r\ninput[type=\"password\"].input-validation-error {\r\n border: solid 1px #e80c4d;\r\n}\r\n\r\n.validation-summary-errors {\r\n color: #e80c4d;\r\n font-weight: bold;\r\n font-size: 1.1em;\r\n}\r\n\r\n.validation-summary-valid {\r\n display: none;\r\n}\r\n\r\n\r\n/* social */\r\nul#social li {\r\n display: inline;\r\n list-style: none;\r\n}\r\n\r\n ul#social li a {\r\n color: #999;\r\n text-decoration: none;\r\n }\r\n \r\n a.facebook, a.twitter {\r\n display: block;\r\n float: left;\r\n height: 24px;\r\n padding-left: 17px;\r\n text-indent: -9999px;\r\n width: 16px;\r\n }\r\n \r\n a.facebook {\r\n background: url(\"../images/facebook.png\") no-repeat;\r\n }\r\n \r\n a.twitter {\r\n background: url(\"../images/twitter.png\") no-repeat;\r\n }\r\n \r\n \r\n \r\n/********************\r\n* Mobile Styles *\r\n********************/\r\n@media only screen and (max-width: 850px) {\r\n \r\n /* header \r\n ----------------------------------------------------------*/\r\n header .float-left, \r\n header .float-right {\r\n float: none;\r\n }\r\n \r\n /* logo */\r\n header .site-title {\r\n /*margin: 0; */\r\n /*margin: 10px;*/\r\n text-align: left;\r\n padding-left: 0;\r\n }\r\n\r\n /* login */\r\n #login {\r\n font-size: .85em;\r\n margin-top: 0;\r\n text-align: center;\r\n }\r\n \r\n #login ul {\r\n margin: 5px 0;\r\n padding: 0;\r\n }\r\n \r\n #login li {\r\n display: inline;\r\n list-style: none;\r\n margin: 0;\r\n padding:0;\r\n }\r\n\r\n #login a {\r\n background: none;\r\n color: #999;\r\n font-weight: 600;\r\n margin: 2px;\r\n padding: 0;\r\n }\r\n \r\n #login a:hover {\r\n color: #333;\r\n }\r\n\r\n /* menu */\r\n nav {\r\n margin-bottom: 5px;\r\n }\r\n \r\n ul#menu {\r\n margin: 0;\r\n padding:0;\r\n text-align: center;\r\n }\r\n\r\n ul#menu li {\r\n margin: 0;\r\n padding: 0;\r\n }\r\n\r\n \r\n /* main layout \r\n ----------------------------------------------------------*/\r\n .main-content,\r\n .featured + .main-content {\r\n background-position: 10px 0;\r\n }\r\n \r\n .content-wrapper {\r\n padding-right: 10px;\r\n padding-left: 10px;\r\n }\r\n\r\n .featured .content-wrapper {\r\n padding: 10px;\r\n }\r\n \r\n /* page content */ \r\n article, aside {\r\n float: none;\r\n width: 100%;\r\n }\r\n \r\n /* ordered list */\r\n ol.round {\r\n list-style-type: none;\r\n padding-left: 0;\r\n }\r\n\r\n ol.round li {\r\n padding-left: 10px;\r\n margin: 25px 0;\r\n }\r\n \r\n ol.round li.one,\r\n ol.round li.two,\r\n ol.round li.three {\r\n background: none; \r\n }\r\n \r\n /* features */\r\n section.feature {\r\n float: none;\r\n padding: 10px;\r\n width: auto;\r\n }\r\n \r\n section.feature img {\r\n color: #999;\r\n content: attr(alt);\r\n font-size: 1.5em;\r\n font-weight: 600;\r\n }\r\n \r\n /* forms */ \r\n fieldset input[type=\"text\"], \r\n fieldset input[type=\"password\"] {\r\n width: 90%;\r\n }\r\n \r\n /* login page */ \r\n #loginForm {\r\n border-right: none;\r\n float: none;\r\n width: auto;\r\n }\r\n\r\n #loginForm .validation-error {\r\n display: block;\r\n margin-left: 15px;\r\n }\r\n\r\n #socialLoginForm {\r\n margin-left: 0;\r\n float: none;\r\n width: auto;\r\n }\r\n\r\n /* footer \r\n ----------------------------------------------------------*/ \r\n footer .float-left,\r\n footer .float-right {\r\n float: none;\r\n }\r\n \r\n footer {\r\n text-align: center;\r\n height: auto;\r\n padding: 10px 0;\r\n }\r\n \r\n footer p {\r\n margin: 0;\r\n }\r\n \r\n ul#social {\r\n padding:0;\r\n margin: 0;\r\n }\r\n \r\n a.facebook, a.twitter {\r\n background: none;\r\n display: inline;\r\n float: none;\r\n height: auto;\r\n padding-left: 0;\r\n text-indent: 0;\r\n width: auto;\r\n } \r\n}\r\n\r\n.subsite {\r\n\tcolor: #444;\r\n}\r\n\r\nh3 {\r\n\tfont-weight: normal;\r\n\tfont-size: 24px;\r\n\tcolor: #444;\r\n\tmargin-bottom: 20px;\r\n}\r\n\r\n.tiles {\r\n\tpadding-bottom: 20px;\r\n\tbackground-color: #e3e3e3;\r\n}\r\n\r\n#editor {\r\n\tmargin: 0 auto;\r\n\theight: 500px;\r\n\tborder: 1px solid #ccc;\r\n}\r\n\r\n.monaco-editor.monaco, .monaco-editor.vs, .monaco-editor.eclipse {\r\n\tbackground: #F9F9F9;\r\n}\r\n\r\n.monaco-editor.monaco .monaco-editor-background, .monaco-editor.vs .monaco-editor-background, .monaco-editor.eclipse .monaco-editor-background {\r\n\tbackground: #F9F9F9;\r\n}"
|
||
},
|
||
{
|
||
"name": "sample.dart.txt",
|
||
"content": "import 'dart:async';\nimport 'dart:math' show Random;\nmain() async {\n print('Compute π using the Monte Carlo method.');\n await for (var estimate in computePi().take(100)) {\n print('π ≅ $estimate');\n }\n}\n/// Generates a stream of increasingly accurate estimates of π.\nStream<double> computePi({int batch: 100000}) async* {\n var total = 0;\n var count = 0;\n while (true) {\n var points = generateRandom().take(batch);\n var inside = points.where((p) => p.isInsideUnitCircle);\n total += batch;\n count += inside.length;\n var ratio = count / total;\n // Area of a circle is A = π⋅r², therefore π = A/r².\n // So, when given random points with x ∈ <0,1>,\n // y ∈ <0,1>, the ratio of those inside a unit circle\n // should approach π / 4. Therefore, the value of π\n // should be:\n yield ratio * 4;\n }\n}\nIterable<Point> generateRandom([int seed]) sync* {\n final random = Random(seed);\n while (true) {\n yield Point(random.nextDouble(), random.nextDouble());\n }\n}\nclass Point {\n final double x, y;\n const Point(this.x, this.y);\n bool get isInsideUnitCircle => x * x + y * y <= 1;\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.dockerfile.txt",
|
||
"content": "FROM mono:3.12\r\n\r\nENV KRE_FEED https://www.myget.org/F/aspnetvnext/api/v2\r\nENV KRE_USER_HOME /opt/kre\r\n\r\nRUN apt-get -qq update && apt-get -qqy install unzip \r\n\r\nONBUILD RUN curl -sSL https://raw.githubusercontent.com/aspnet/Home/dev/kvminstall.sh | sh\r\nONBUILD RUN bash -c \"source $KRE_USER_HOME/kvm/kvm.sh \\\r\n && kvm install latest -a default \\\r\n && kvm alias default | xargs -i ln -s $KRE_USER_HOME/packages/{} $KRE_USER_HOME/packages/default\"\r\n\r\n# Install libuv for Kestrel from source code (binary is not in wheezy and one in jessie is still too old)\r\nRUN apt-get -qqy install \\\r\n autoconf \\\r\n automake \\\r\n build-essential \\\r\n libtool \r\nRUN LIBUV_VERSION=1.0.0-rc2 \\\r\n && curl -sSL https://github.com/joyent/libuv/archive/v${LIBUV_VERSION}.tar.gz | tar zxfv - -C /usr/local/src \\\r\n && cd /usr/local/src/libuv-$LIBUV_VERSION \\\r\n && sh autogen.sh && ./configure && make && make install \\\r\n && rm -rf /usr/local/src/libuv-$LIBUV_VERSION \\\r\n && ldconfig\r\n\r\nENV PATH $PATH:$KRE_USER_HOME/packages/default/bin\r\n\r\n# Extra things to test\r\nRUN echo \"string at end\"\r\nRUN echo must work 'some str' and some more\r\nRUN echo hi this is # not a comment\r\nRUN echo 'String with ${VAR} and another $one here'"
|
||
},
|
||
{
|
||
"name": "sample.elixir.txt",
|
||
"content": "# Elixir is a dynamic, functional language for building scalable\n# and maintainable applications. Learn more: https://elixir-lang.org\n\n\"Elixir\" |> String.graphemes() |> Enum.frequencies()\n#=> %{\"E\" => 1, \"i\" => 2, \"l\" => 1, \"r\" => 1, \"x\" => 1}\n\n\n### Scalability ###\n\n# All Elixir code runs inside lightweight threads of execution (called processes)\n# that are isolated and exchange information via messages:\n\ncurrent_process = self()\n\n# Spawn an Elixir process (not an operating system one!)\nspawn_link(fn ->\n send(current_process, {:msg, \"hello world\"})\nend)\n\n# Block until the message is received\nreceive do\n {:msg, contents} -> IO.puts(contents)\nend\n\n\n### Fault-tolerance ###\n\n# To cope with failures, Elixir provides supervisors which describe\n# how to restart parts of your system when things go awry, going back\n# to a known initial state that is guaranteed to work:\n\nchildren = [\n TCP.Pool,\n {TCP.Acceptor, port: 4040}\n]\n\nSupervisor.start_link(children, strategy: :one_for_one)\n\n\n### Functional programming ###\n\n# Functional programming promotes a coding style that helps\n# developers write code that is short, concise, and maintainable.\n# One prominent example is pattern matching:\n\n%User{name: name, age: age} = User.get(\"John Doe\")\nname #=> \"John Doe\"\n\n# When mixed with guards, pattern matching allows us to elegantly\n# match and assert specific conditions for some code to execute:\n\ndef drive(%User{age: age}) when age >= 16 do\n # Code that drives a car\nend\n\ndrive(User.get(\"John Doe\"))\n#=> Fails if the user is under 16\n\n\n### Extensibility and DSLs ###\n\n# Elixir has been designed to be extensible, letting developers\n# naturally extend the language to particular domains,\n# in order to increase their productivity.\n\ndefmodule MathTest do\n use ExUnit.Case, async: true\n\n test \"can add two numbers\" do\n assert 1 + 1 == 2\n end\nend\n\n\n### Erlang compatible ###\n\n# An Elixir programmer can invoke any Erlang function with no runtime cost:\n\n:crypto.hash(:md5, \"Using crypto from Erlang OTP\")\n#=> <<192, 223, 75, 115, ...>>\n"
|
||
},
|
||
{
|
||
"name": "sample.fsharp.txt",
|
||
"content": "(* Sample F# application *)\r\n[<EntryPoint>]\r\nlet main argv = \r\n printfn \"%A\" argv\r\n System.Console.WriteLine(\"Hello from F#\")\r\n 0 // return an integer exit code\r\n\r\n//-------------------------------------------------------- \r\n"
|
||
},
|
||
{
|
||
"name": "sample.go.txt",
|
||
"content": "// We often need our programs to perform operations on\r\n// collections of data, like selecting all items that\r\n// satisfy a given predicate or mapping all items to a new\r\n// collection with a custom function.\r\n\r\n// In some languages it's idiomatic to use [generic](http://en.wikipedia.org/wiki/Generic_programming)\r\n// data structures and algorithms. Go does not support\r\n// generics; in Go it's common to provide collection\r\n// functions if and when they are specifically needed for\r\n// your program and data types.\r\n\r\n// Here are some example collection functions for slices\r\n// of `strings`. You can use these examples to build your\r\n// own functions. Note that in some cases it may be\r\n// clearest to just inline the collection-manipulating\r\n// code directly, instead of creating and calling a\r\n// helper function.\r\n\r\npackage main\r\n\r\nimport \"strings\"\r\nimport \"fmt\"\r\n\r\n// Returns the first index of the target string `t`, or\r\n// -1 if no match is found.\r\nfunc Index(vs []string, t string) int {\r\n for i, v := range vs {\r\n if v == t {\r\n return i\r\n }\r\n }\r\n return -1\r\n}\r\n\r\n// Returns `true` if the target string t is in the\r\n// slice.\r\nfunc Include(vs []string, t string) bool {\r\n return Index(vs, t) >= 0\r\n}\r\n\r\n// Returns `true` if one of the strings in the slice\r\n// satisfies the predicate `f`.\r\nfunc Any(vs []string, f func(string) bool) bool {\r\n for _, v := range vs {\r\n if f(v) {\r\n return true\r\n }\r\n }\r\n return false\r\n}\r\n\r\n// Returns `true` if all of the strings in the slice\r\n// satisfy the predicate `f`.\r\nfunc All(vs []string, f func(string) bool) bool {\r\n for _, v := range vs {\r\n if !f(v) {\r\n return false\r\n }\r\n }\r\n return true\r\n}\r\n\r\n// Returns a new slice containing all strings in the\r\n// slice that satisfy the predicate `f`.\r\nfunc Filter(vs []string, f func(string) bool) []string {\r\n vsf := make([]string, 0)\r\n for _, v := range vs {\r\n if f(v) {\r\n vsf = append(vsf, v)\r\n }\r\n }\r\n return vsf\r\n}\r\n\r\n// Returns a new slice containing the results of applying\r\n// the function `f` to each string in the original slice.\r\nfunc Map(vs []string, f func(string) string) []string {\r\n vsm := make([]string, len(vs))\r\n for i, v := range vs {\r\n vsm[i] = f(v)\r\n }\r\n return vsm\r\n}\r\n\r\nfunc main() {\r\n\r\n // Here we try out our various collection functions.\r\n var strs = []string{\"peach\", \"apple\", \"pear\", \"plum\"}\r\n\r\n fmt.Println(Index(strs, \"pear\"))\r\n\r\n fmt.Println(Include(strs, \"grape\"))\r\n\r\n fmt.Println(Any(strs, func(v string) bool {\r\n return strings.HasPrefix(v, \"p\")\r\n }))\r\n\r\n fmt.Println(All(strs, func(v string) bool {\r\n return strings.HasPrefix(v, \"p\")\r\n }))\r\n\r\n fmt.Println(Filter(strs, func(v string) bool {\r\n return strings.Contains(v, \"e\")\r\n }))\r\n\r\n // The above examples all used anonymous functions,\r\n // but you can also use named functions of the correct\r\n // type.\r\n fmt.Println(Map(strs, strings.ToUpper))\r\n\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "sample.graphql.txt",
|
||
"content": "# GraphQL Schema Definition Language\n\n\"\"\"\nColor value\n\"\"\"\nscalar Color\n\n\"\"\"\nNode interface\n\n- allows (re)fetch arbitrary entity only by ID\n- allows client side cache normalization\n\nSee [Relay Global Object Identification Specification](https://facebook.github.io/relay/graphql/objectidentification.htm)\n\"\"\"\ninterface Node {\n \"\"\"\n Globally unique identifier,\n typically `${__typename}:${dbId}`\n may be encoded in *base64*\n \"\"\"\n id: ID!\n}\n\n\"\"\"\nA character in the Star Wars Trilogy\n\"\"\"\ninterface Character {\n \"\"\"\n The id of the character.\n \"\"\"\n id: ID!\n\n \"\"\"\n The name of the character.\n \"\"\"\n name: String\n\n \"\"\"\n The friends of the character, or an empty list if they have none.\n \"\"\"\n friends: [Character]\n\n \"\"\"\n Which movies they appear in\n \"\"\"\n appearsIn: [Episode]\n\n \"\"\"\n All secrets about their past\n \"\"\"\n secretBackstory: String\n}\n\n\"\"\"\nA mechanical creature in the Star Wars universe.\n\"\"\"\ntype Droid implements Character {\n \"\"\"\n The id of the droid.\n \"\"\"\n id: ID!\n\n \"\"\"\n The name of the droid.\n \"\"\"\n name: String\n\n \"\"\"\n The friends of the droid, or an empty list if they have none.\n \"\"\"\n friends: [Character]\n\n \"\"\"\n Which movies they appear in.\n \"\"\"\n appearsIn: [Episode]\n\n \"\"\"\n Construction date and the name of the designer.\n \"\"\"\n secretBackstory: String\n\n \"\"\"\n The primary function of the droid.\n \"\"\"\n primaryFunction: String\n\n \"\"\"\n Chase color of the droid.\n \"\"\"\n color: Color\n}\n\n# One of the films in the Star Wars Trilogy\nenum Episode {\n \"\"\"\n Released in 1977.\n \"\"\"\n NEWHOPE\n\n \"\"\"\n Released in 1980.\n \"\"\"\n EMPIRE\n\n \"\"\"\n Released in 1983.\n \"\"\"\n JEDI\n}\n\n\"\"\"\nA humanoid creature in the Star Wars universe.\n\"\"\"\ntype Human implements Character {\n \"\"\"\n The id of the human.\n \"\"\"\n id: ID!\n\n \"\"\"\n The name of the human.\n \"\"\"\n name: String\n\n \"\"\"\n The friends of the human, or an empty list if they have none.\n \"\"\"\n friends: [Character]\n\n \"\"\"\n Which movies they appear in.\n \"\"\"\n appearsIn: [Episode]\n\n \"\"\"\n The home planet of the human, or null if unknown.\n \"\"\"\n homePlanet: String\n\n \"\"\"\n Where are they from and how they came to be who they are.\n \"\"\"\n secretBackstory: String\n}\n\nenum LengthUnit {\n METER\n FEET\n}\n\ntype Starship {\n id: ID!\n name: String!\n length(unit: LengthUnit = METER): Float\n}\n\nunion SearchResult = Human | Droid | Starship\n\ninput SearchInput {\n name: String\n episode: Episode\n}\n\n\"\"\"\nRoot Query\n\"\"\"\ntype Query {\n \"\"\"\n Return the hero by episode.\n \"\"\"\n hero(\n \"\"\"\n If omitted, returns the hero of the whole saga. If provided, returns the hero of that particular episode.\n \"\"\"\n episode: Episode\n ): Character\n\n \"\"\"\n Return the Human by ID.\n \"\"\"\n human(\n \"\"\"\n id of the human\n \"\"\"\n id: ID!\n ): Human\n\n \"\"\"\n Return the Droid by ID.\n \"\"\"\n droid(\n \"\"\"\n id of the droid\n \"\"\"\n id: ID!\n ): Droid\n\n \"\"\"\n Search everything by name\n\n __NOTE__: You should use Relay pagination\n \"\"\"\n search(search: SearchInput!): [SearchResult]\n @deprecated(reason: \"`search` will be replaced.\")\n}\n\n\"\"\"\nRoot Mutation\n\"\"\"\ntype Mutation {\n \"\"\"\n Save the favorite episode.\n \"\"\"\n favorite(\n \"\"\"\n Favorite episode.\n \"\"\"\n episode: Episode!\n ): Episode\n}\n\n\"\"\"\nSubscriptions — live events\n\"\"\"\ntype Subscription {\n \"\"\"\n Message\n \"\"\"\n message: String\n}\n\nextend type Query {\n \"\"\"\n Dummy query for highlighting test\n \"\"\"\n dummy(\n int: Int = 123\n float: Float = 123.456\n str: String = \"Hello World!\"\n boolDefaultTrue: Boolean = true\n boolDefaultFalse: Boolean = false\n id: ID\n search: SearchInput = null\n ): Boolean\n}\n\nschema {\n query: Query\n mutation: Mutation\n subscription: Subscription\n}\n\n# GraphQL Query Language\n\nquery dummyQuery($int: Int) {\n dummy(int: $int)\n}\n\nmutation favoriteEpisode($episode: Episode) {\n favorite(episode: $episode)\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.handlebars.txt",
|
||
"content": "\n<div class=\"entry\">\n\t<h1>{{title}}</h1>\n\t{{#if author}}\n\t<h2>{{author.firstName}} {{author.lastName}}</h2>\n\t{{else}}\n\t<h2>Unknown Author</h2>\n\t{{/if}}\n\t{{contentBody}}\n</div>\n\n{{#unless license}}\n <h3 class=\"warning\">WARNING: This entry does not have a license!</h3>\n{{/unless}}\n\n<div class=\"footnotes\">\n\t<ul>\n\t\t{{#each footnotes}}\n\t\t<li>{{this}}</li>\n\t\t{{/each}}\n\t</ul>\n</div>\n\n<h1>Comments</h1>\n\n<div id=\"comments\">\n\t{{#each comments}}\n\t<h2><a href=\"/posts/{{../permalink}}#{{id}}\">{{title}}</a></h2>\n\t<div>{{body}}</div>\n\t{{/each}}\n</div>\n"
|
||
},
|
||
{
|
||
"name": "sample.hcl.txt",
|
||
"content": "terraform {\r\n required_providers {\r\n aws = {\r\n source = \"hashicorp/aws\"\r\n version = \"~> 1.0.4\"\r\n }\r\n }\r\n}\r\n\r\nvariable \"aws_region\" {}\r\n\r\nvariable \"base_cidr_block\" {\r\n description = \"A /16 CIDR range definition, such as 10.1.0.0/16, that the VPC will use\"\r\n default = \"10.1.0.0/16\"\r\n}\r\n\r\nvariable \"availability_zones\" {\r\n description = \"A list of availability zones in which to create subnets\"\r\n type = list(string)\r\n}\r\n\r\nprovider \"aws\" {\r\n region = var.aws_region\r\n}\r\n\r\nresource \"aws_vpc\" \"main\" {\r\n # Referencing the base_cidr_block variable allows the network address\r\n # to be changed without modifying the configuration.\r\n cidr_block = var.base_cidr_block\r\n}\r\n\r\nresource \"aws_subnet\" \"az\" {\r\n # Create one subnet for each given availability zone.\r\n count = length(var.availability_zones)\r\n\r\n # For each subnet, use one of the specified availability zones.\r\n availability_zone = var.availability_zones[count.index]\r\n\r\n # By referencing the aws_vpc.main object, Terraform knows that the subnet\r\n # must be created only after the VPC is created.\r\n vpc_id = aws_vpc.main.id\r\n\r\n # Built-in functions and operators can be used for simple transformations of\r\n # values, such as computing a subnet address. Here we create a /20 prefix for\r\n # each subnet, using consecutive addresses for each availability zone,\r\n # such as 10.1.16.0/20 .\r\n cidr_block = cidrsubnet(aws_vpc.main.cidr_block, 4, count.index+1)\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "sample.html.txt",
|
||
"content": "<!DOCTYPE HTML>\r\n<!--Example of comments in HTML-->\r\n<html>\r\n<head>\r\n\t<!--This is the head section-->\r\n\t<title>HTML Sample</title>\r\n\t<meta charset=\"utf-8\">\r\n\r\n\t<!--This is the style tag to set style on elements-->\r\n\t<style type=\"text/css\">\r\n\t\th1\r\n\t\t{\r\n\t\t\tfont-family: Tahoma;\r\n\t\t\tfont-size: 40px;\r\n\t\t\tfont-weight: normal;\r\n\t\t\tmargin: 50px;\r\n\t\t\tcolor: #a0a0a0;\r\n\t\t}\r\n\r\n\t\th2\r\n\t\t{\r\n\t\t\tfont-family: Tahoma;\r\n\t\t\tfont-size: 30px;\r\n\t\t\tfont-weight: normal;\r\n\t\t\tmargin: 50px;\r\n\t\t\tcolor: #fff;\r\n\t\t}\r\n\r\n\t\tp\r\n\t\t{\r\n\t\t\tfont-family: Tahoma;\r\n\t\t\tfont-size: 17px;\r\n\t\t\tfont-weight: normal;\r\n\t\t\tmargin: 0px 200px;\r\n\t\t\tcolor: #fff;\r\n\t\t}\r\n\r\n\t\tdiv.Center\r\n\t\t{\r\n\t\t\ttext-align: center;\r\n\t\t}\r\n\r\n\t\tdiv.Blue\r\n\t\t{\r\n\t\t\tpadding: 50px;\r\n\t\t\tbackground-color: #7bd2ff;\r\n\t\t}\r\n\r\n\t\tbutton.Gray\r\n\t\t{\r\n\t\t\tfont-family: Tahoma;\r\n\t\t\tfont-size: 17px;\r\n\t\t\tfont-weight: normal;\r\n\t\t\tmargin-top: 100px;\r\n\t\t\tpadding: 10px 50px;\r\n\t\t\tbackground-color: #727272;\r\n\t\t\tcolor: #fff;\r\n\t\t\toutline: 0;\r\n \t\t\tborder: none;\r\n \t\t\tcursor: pointer;\r\n\t\t}\r\n\r\n\t\tbutton.Gray:hover\r\n\t\t{\r\n\t\t\tbackground-color: #898888;\r\n\t\t}\r\n\r\n\t\tbutton.Gray:active\r\n\t\t{\r\n\t\t\tbackground-color: #636161;\r\n\t\t}\r\n\r\n\t</style>\r\n\r\n\t<!--This is the script tag-->\r\n\t<script type=\"text/javascript\">\r\n\t\tfunction ButtonClick(){\r\n\t\t\t// Example of comments in JavaScript\r\n\t\t\twindow.alert(\"I'm an alert sample!\");\r\n\t\t}\r\n\t</script>\r\n</head>\r\n<body>\r\n\t<!--This is the body section-->\r\n\t<div class=\"Center\">\r\n\t\t<h1>NAME OF SITE</h1>\r\n\t</div>\r\n\t<div class=\"Center Blue\">\r\n\t\t\t<h2>I'm h2 Header! Edit me in <h2></h2>\r\n\t\t\t<p>\r\n\t\t\t\tI'm a paragraph! Edit me in <p>\r\n\t\t\t\tto add your own content and make changes to the style and font.\r\n\t\t\t\tIt's easy! Just change the text between <p> ... </p> and change the style in <style>.\r\n\t\t\t\tYou can make it as long as you wish. The browser will automatically wrap the lines to accommodate the\r\n\t\t\t\tsize of the browser window.\r\n\t\t\t</p>\r\n\t\t\t<button class=\"Gray\" onclick=\"ButtonClick()\">Click Me!</button>\r\n\t</div>\r\n</body>\r\n</html>\r\n"
|
||
},
|
||
{
|
||
"name": "sample.ini.txt",
|
||
"content": "# Example of a .gitconfig file\r\n\r\n[core]\r\n\trepositoryformatversion = 0\r\n\tfilemode = false\r\n\tbare = false\r\n\tlogallrefupdates = true\r\n\tsymlinks = false\r\n\tignorecase = true\r\n\thideDotFiles = dotGitOnly\r\n\r\n# Defines the master branch\r\n[branch \"master\"]\r\n\tremote = origin\r\n\tmerge = refs/heads/master\r\n"
|
||
},
|
||
{
|
||
"name": "sample.java.txt",
|
||
"content": "/*\n\tBasic Java example using FizzBuzz\n*/\n\nimport java.util.Random;\n\npublic class Example {\n\tpublic static void main (String[] args){\n\t\t// Generate a random number between 1-100. (See generateRandomNumber method.)\n\t\tint random = generateRandomNumber(100);\n\n\t\t// Output generated number.\n\t\tSystem.out.println(\"Generated number: \" + random + \"\\n\");\n\n\t\t// Loop between 1 and the number we just generated.\n\t\tfor (int i=1; i<=random; i++){\n\t\t\t// If i is divisible by both 3 and 5, output \"FizzBuzz\".\n\t\t\tif (i % 3 == 0 && i % 5 == 0){\n\t\t\t\tSystem.out.println(\"FizzBuzz\");\n\t\t\t}\n\t\t\t// If i is divisible by 3, output \"Fizz\"\n\t\t\telse if (i % 3 == 0){\n\t\t\t\tSystem.out.println(\"Fizz\");\n\t\t\t}\n\t\t\t// If i is divisible by 5, output \"Buzz\".\n\t\t\telse if (i % 5 == 0){\n\t\t\t\tSystem.out.println(\"Buzz\");\n\t\t\t}\n\t\t\t// If i is not divisible by either 3 or 5, output the number.\n\t\t\telse {\n\t\t\t\tSystem.out.println(i);\n\t\t\t}\n\t\t}\n\t}\n\n\t/**\n\t\tGenerates a new random number between 0 and 100.\n\t\t@param bound The highest number that should be generated.\n\t\t@return An integer representing a randomly generated number between 0 and 100.\n\t*/\n\tprivate static int generateRandomNumber(int bound){\n\t\t// Create new Random generator object and generate the random number.\n\t\tRandom randGen = new Random();\n\t\tint randomNum = randGen.nextInt(bound);\n\n\t\t// If the random number generated is zero, use recursion to regenerate the number until it is not zero.\n\t\tif (randomNum < 1){\n\t\t\trandomNum = generateRandomNumber(bound);\n\t\t}\n\n\t\treturn randomNum;\n\t}\n}\n "
|
||
},
|
||
{
|
||
"name": "sample.javascript.txt",
|
||
"content": "/*\r\n © Microsoft. All rights reserved.\r\n\r\n This library is supported for use in Windows Tailored Apps only.\r\n\r\n Build: 6.2.8100.0 \r\n Version: 0.5 \r\n*/\r\n\r\n(function (global, undefined) {\r\n\t\"use strict\";\r\n\tundefinedVariable = {};\r\n\tundefinedVariable.prop = 5;\r\n\r\n\tfunction initializeProperties(target, members) {\r\n\t\tvar keys = Object.keys(members);\r\n\t\tvar properties;\r\n\t\tvar i, len;\r\n\t\tfor (i = 0, len = keys.length; i < len; i++) {\r\n\t\t\tvar key = keys[i];\r\n\t\t\tvar enumerable = key.charCodeAt(0) !== /*_*/95;\r\n\t\t\tvar member = members[key];\r\n\t\t\tif (member && typeof member === 'object') {\r\n\t\t\t\tif (member.value !== undefined || typeof member.get === 'function' || typeof member.set === 'function') {\r\n\t\t\t\t\tif (member.enumerable === undefined) {\r\n\t\t\t\t\t\tmember.enumerable = enumerable;\r\n\t\t\t\t\t}\r\n\t\t\t\t\tproperties = properties || {};\r\n\t\t\t\t\tproperties[key] = member;\r\n\t\t\t\t\tcontinue;\r\n\t\t\t\t} \r\n\t\t\t}\r\n\t\t\tif (!enumerable) {\r\n\t\t\t\tproperties = properties || {};\r\n\t\t\t\tproperties[key] = { value: member, enumerable: enumerable, configurable: true, writable: true }\r\n\t\t\t\tcontinue;\r\n\t\t\t}\r\n\t\t\ttarget[key] = member;\r\n\t\t}\r\n\t\tif (properties) {\r\n\t\t\tObject.defineProperties(target, properties);\r\n\t\t}\r\n\t}\r\n\r\n\t(function (rootNamespace) {\r\n\r\n\t\t// Create the rootNamespace in the global namespace\r\n\t\tif (!global[rootNamespace]) {\r\n\t\t\tglobal[rootNamespace] = Object.create(Object.prototype);\r\n\t\t}\r\n\r\n\t\t// Cache the rootNamespace we just created in a local variable\r\n\t\tvar _rootNamespace = global[rootNamespace];\r\n\t\tif (!_rootNamespace.Namespace) {\r\n\t\t\t_rootNamespace.Namespace = Object.create(Object.prototype);\r\n\t\t}\r\n\r\n\t\tfunction defineWithParent(parentNamespace, name, members) {\r\n\t\t\t/// <summary locid=\"1\">\r\n\t\t\t/// Defines a new namespace with the specified name, under the specified parent namespace.\r\n\t\t\t/// </summary>\r\n\t\t\t/// <param name=\"parentNamespace\" type=\"Object\" locid=\"2\">\r\n\t\t\t/// The parent namespace which will contain the new namespace.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"name\" type=\"String\" locid=\"3\">\r\n\t\t\t/// Name of the new namespace.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"members\" type=\"Object\" locid=\"4\">\r\n\t\t\t/// Members in the new namespace.\r\n\t\t\t/// </param>\r\n\t\t\t/// <returns locid=\"5\">\r\n\t\t\t/// The newly defined namespace.\r\n\t\t\t/// </returns>\r\n\t\t\tvar currentNamespace = parentNamespace,\r\n\t\t\t\tnamespaceFragments = name.split(\".\");\r\n\r\n\t\t\tfor (var i = 0, len = namespaceFragments.length; i < len; i++) {\r\n\t\t\t\tvar namespaceName = namespaceFragments[i];\r\n\t\t\t\tif (!currentNamespace[namespaceName]) {\r\n\t\t\t\t\tObject.defineProperty(currentNamespace, namespaceName, \r\n\t\t\t\t\t\t{ value: {}, writable: false, enumerable: true, configurable: true }\r\n\t\t\t\t\t);\r\n\t\t\t\t}\r\n\t\t\t\tcurrentNamespace = currentNamespace[namespaceName];\r\n\t\t\t}\r\n\r\n\t\t\tif (members) {\r\n\t\t\t\tinitializeProperties(currentNamespace, members);\r\n\t\t\t}\r\n\r\n\t\t\treturn currentNamespace;\r\n\t\t}\r\n\r\n\t\tfunction define(name, members) {\r\n\t\t\t/// <summary locid=\"6\">\r\n\t\t\t/// Defines a new namespace with the specified name.\r\n\t\t\t/// </summary>\r\n\t\t\t/// <param name=\"name\" type=\"String\" locid=\"7\">\r\n\t\t\t/// Name of the namespace. This could be a dot-separated nested name.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"members\" type=\"Object\" locid=\"4\">\r\n\t\t\t/// Members in the new namespace.\r\n\t\t\t/// </param>\r\n\t\t\t/// <returns locid=\"5\">\r\n\t\t\t/// The newly defined namespace.\r\n\t\t\t/// </returns>\r\n\t\t\treturn defineWithParent(global, name, members);\r\n\t\t}\r\n\r\n\t\t// Establish members of the \"WinJS.Namespace\" namespace\r\n\t\tObject.defineProperties(_rootNamespace.Namespace, {\r\n\r\n\t\t\tdefineWithParent: { value: defineWithParent, writable: true, enumerable: true },\r\n\r\n\t\t\tdefine: { value: define, writable: true, enumerable: true }\r\n\r\n\t\t});\r\n\r\n\t})(\"WinJS\");\r\n\r\n\t(function (WinJS) {\r\n\r\n\t\tfunction define(constructor, instanceMembers, staticMembers) {\r\n\t\t\t/// <summary locid=\"8\">\r\n\t\t\t/// Defines a class using the given constructor and with the specified instance members.\r\n\t\t\t/// </summary>\r\n\t\t\t/// <param name=\"constructor\" type=\"Function\" locid=\"9\">\r\n\t\t\t/// A constructor function that will be used to instantiate this class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"instanceMembers\" type=\"Object\" locid=\"10\">\r\n\t\t\t/// The set of instance fields, properties and methods to be made available on the class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"staticMembers\" type=\"Object\" locid=\"11\">\r\n\t\t\t/// The set of static fields, properties and methods to be made available on the class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <returns type=\"Function\" locid=\"12\">\r\n\t\t\t/// The newly defined class.\r\n\t\t\t/// </returns>\r\n\t\t\tconstructor = constructor || function () { };\r\n\t\t\tif (instanceMembers) {\r\n\t\t\t\tinitializeProperties(constructor.prototype, instanceMembers);\r\n\t\t\t}\r\n\t\t\tif (staticMembers) {\r\n\t\t\t\tinitializeProperties(constructor, staticMembers);\r\n\t\t\t}\r\n\t\t\treturn constructor;\r\n\t\t}\r\n\r\n\t\tfunction derive(baseClass, constructor, instanceMembers, staticMembers) {\r\n\t\t\t/// <summary locid=\"13\">\r\n\t\t\t/// Uses prototypal inheritance to create a sub-class based on the supplied baseClass parameter.\r\n\t\t\t/// </summary>\r\n\t\t\t/// <param name=\"baseClass\" type=\"Function\" locid=\"14\">\r\n\t\t\t/// The class to inherit from.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"constructor\" type=\"Function\" locid=\"9\">\r\n\t\t\t/// A constructor function that will be used to instantiate this class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"instanceMembers\" type=\"Object\" locid=\"10\">\r\n\t\t\t/// The set of instance fields, properties and methods to be made available on the class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <param name=\"staticMembers\" type=\"Object\" locid=\"11\">\r\n\t\t\t/// The set of static fields, properties and methods to be made available on the class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <returns type=\"Function\" locid=\"12\">\r\n\t\t\t/// The newly defined class.\r\n\t\t\t/// </returns>\r\n\t\t\tif (baseClass) {\r\n\t\t\t\tconstructor = constructor || function () { };\r\n\t\t\t\tvar basePrototype = baseClass.prototype;\r\n\t\t\t\tconstructor.prototype = Object.create(basePrototype);\r\n\t\t\t\tObject.defineProperty(constructor.prototype, \"_super\", { value: basePrototype });\r\n\t\t\t\tObject.defineProperty(constructor.prototype, \"constructor\", { value: constructor });\r\n\t\t\t\tif (instanceMembers) {\r\n\t\t\t\t\tinitializeProperties(constructor.prototype, instanceMembers);\r\n\t\t\t\t}\r\n\t\t\t\tif (staticMembers) {\r\n\t\t\t\t\tinitializeProperties(constructor, staticMembers);\r\n\t\t\t\t}\r\n\t\t\t\treturn constructor;\r\n\t\t\t} else {\r\n\t\t\t\treturn define(constructor, instanceMembers, staticMembers);\r\n\t\t\t}\r\n\t\t}\r\n\r\n\t\tfunction mix(constructor) {\r\n\t\t\t/// <summary locid=\"15\">\r\n\t\t\t/// Defines a class using the given constructor and the union of the set of instance members\r\n\t\t\t/// specified by all the mixin objects. The mixin parameter list can be of variable length.\r\n\t\t\t/// </summary>\r\n\t\t\t/// <param name=\"constructor\" locid=\"9\">\r\n\t\t\t/// A constructor function that will be used to instantiate this class.\r\n\t\t\t/// </param>\r\n\t\t\t/// <returns locid=\"12\">\r\n\t\t\t/// The newly defined class.\r\n\t\t\t/// </returns>\r\n\t\t\tconstructor = constructor || function () { };\r\n\t\t\tvar i, len;\r\n\t\t\tfor (i = 0, len = arguments.length; i < len; i++) {\r\n\t\t\t\tinitializeProperties(constructor.prototype, arguments[i]);\r\n\t\t\t}\r\n\t\t\treturn constructor;\r\n\t\t}\r\n\r\n\t\t// Establish members of \"WinJS.Class\" namespace\r\n\t\tWinJS.Namespace.define(\"WinJS.Class\", {\r\n\t\t\tdefine: define,\r\n\t\t\tderive: derive,\r\n\t\t\tmix: mix\r\n\t\t});\r\n\r\n\t})(WinJS);\r\n\r\n})(this);"
|
||
},
|
||
{
|
||
"name": "sample.json.txt",
|
||
"content": "{\r\n\t\"type\": \"team\",\r\n\t\"test\": {\r\n\t\t\"testPage\": \"tools/testing/run-tests.htm\",\r\n\t\t\"enabled\": true\r\n\t},\r\n \"search\": {\r\n \"excludeFolders\": [\r\n\t\t\t\".git\",\r\n\t\t\t\"node_modules\",\r\n\t\t\t\"tools/bin\",\r\n\t\t\t\"tools/counts\",\r\n\t\t\t\"tools/policheck\",\r\n\t\t\t\"tools/tfs_build_extensions\",\r\n\t\t\t\"tools/testing/jscoverage\",\r\n\t\t\t\"tools/testing/qunit\",\r\n\t\t\t\"tools/testing/chutzpah\",\r\n\t\t\t\"server.net\"\r\n ]\r\n },\r\n\t\"languages\": {\r\n\t\t\"vs.languages.typescript\": {\r\n\t\t\t\"validationSettings\": [{\r\n\t\t\t\t\"scope\":\"/\",\r\n\t\t\t\t\"noImplicitAny\":true,\r\n\t\t\t\t\"noLib\":false,\r\n\t\t\t\t\"extraLibs\":[],\r\n\t\t\t\t\"semanticValidation\":true,\r\n\t\t\t\t\"syntaxValidation\":true,\r\n\t\t\t\t\"codeGenTarget\":\"ES5\",\r\n\t\t\t\t\"moduleGenTarget\":\"\",\r\n\t\t\t\t\"lint\": {\r\n \"emptyBlocksWithoutComment\": \"warning\",\r\n \"curlyBracketsMustNotBeOmitted\": \"warning\",\r\n \"comparisonOperatorsNotStrict\": \"warning\",\r\n \"missingSemicolon\": \"warning\",\r\n \"unknownTypeOfResults\": \"warning\",\r\n \"semicolonsInsteadOfBlocks\": \"warning\",\r\n \"functionsInsideLoops\": \"warning\",\r\n \"functionsWithoutReturnType\": \"warning\",\r\n \"tripleSlashReferenceAlike\": \"warning\",\r\n \"unusedImports\": \"warning\",\r\n \"unusedVariables\": \"warning\",\r\n \"unusedFunctions\": \"warning\",\r\n \"unusedMembers\": \"warning\"\r\n }\r\n\t\t\t}, \r\n\t\t\t{\r\n\t\t\t\t\"scope\":\"/client\",\r\n\t\t\t\t\"baseUrl\":\"/client\",\r\n\t\t\t\t\"moduleGenTarget\":\"amd\"\r\n\t\t\t},\r\n\t\t\t{\r\n\t\t\t\t\"scope\":\"/server\",\r\n\t\t\t\t\"moduleGenTarget\":\"commonjs\"\r\n\t\t\t},\r\n\t\t\t{\r\n\t\t\t\t\"scope\":\"/build\",\r\n\t\t\t\t\"moduleGenTarget\":\"commonjs\"\r\n\t\t\t},\r\n\t\t\t{\r\n\t\t\t\t\"scope\":\"/node_modules/nake\",\r\n\t\t\t\t\"moduleGenTarget\":\"commonjs\"\r\n\t\t\t}],\r\n\t\t\t\"allowMultipleWorkers\": true\r\n\t\t}\r\n\t}\r\n}"
|
||
},
|
||
{
|
||
"name": "sample.julia.txt",
|
||
"content": "# good style\nfunction fixedpointmap(f; iv, tolerance=1E-7, maxiter=1000)\n # setup the algorithm\n x_old = iv\n normdiff = Inf\n iter = 1\n while normdiff > tolerance && iter <= maxiter\n x_new = f(x_old) # use the passed in map\n normdiff = norm(x_new - x_old)\n x_old = x_new\n iter = iter + 1\n end\n return (value = x_old, normdiff=normdiff, iter=iter) # A named tuple\nend\n\n# define a map and parameters\np = 1.0\nβ = 0.9\nf(v) = p + β * v # note that p and β are used in the function!\n\nsol = fixedpointmap(f, iv=0.8, tolerance=1.0E-8) # don't need to pass\nprintln(\"Fixed point = $(sol.value), and |f(x) - x| = $(sol.normdiff) in $(sol.iter)\"*\n \" iterations\")\n"
|
||
},
|
||
{
|
||
"name": "sample.kotlin.txt",
|
||
"content": "const val POINTS_X_PASS: Int = 15\r\nval EZPassAccounts: MutableMap<Int, Int> = mutableMapOf(1 to 100, 2 to 100, 3 to 100)\r\nval EZPassReport: Map<Int, Int> = EZPassAccounts\r\n\r\n// update points credit\r\nfun updatePointsCredit(accountId: Int) {\r\n if (EZPassAccounts.containsKey(accountId)) {\r\n println(\"Updating $accountId...\")\r\n EZPassAccounts[accountId] = EZPassAccounts.getValue(accountId) + POINTS_X_PASS\r\n } else {\r\n println(\"Error: Trying to update a non-existing account (id: $accountId)\")\r\n }\r\n}\r\n\r\nfun accountsReport() {\r\n println(\"EZ-Pass report:\")\r\n EZPassReport.forEach{\r\n k, v -> println(\"ID $k: credit $v\")\r\n }\r\n}\r\n\r\nfun main() {\r\n accountsReport()\r\n updatePointsCredit(1)\r\n updatePointsCredit(1)\r\n updatePointsCredit(5)\r\n accountsReport()\r\n}"
|
||
},
|
||
{
|
||
"name": "sample.less.txt",
|
||
"content": "@base: #f938ab;\r\n\r\n.box-shadow(@style, @c) when (iscolor(@c)) {\r\n\tborder-radius: @style @c;\r\n}\r\n\r\n.box-shadow(@style, @alpha: 50%) when (isnumber(@alpha)) {\r\n\t.box-shadow(@style, rgba(0, 0, 0, @alpha));\r\n}\r\n\r\n.box { \r\n\tcolor: saturate(@base, 5%);\r\n\tborder-color: lighten(@base, 30%);\r\n\t\r\n\tdiv {\r\n\t\t.box-shadow((0 0 5px), 30%);\r\n\t}\r\n}\r\n\r\n#header {\r\n\th1 {\r\n\t\tfont-size: 26px;\r\n\t\tfont-weight: bold;\r\n\t}\r\n\t\r\n\tp { font-size: 12px;\r\n\t\ta { text-decoration: none;\r\n\t\t\t&:hover { border-width: 1px }\r\n\t\t}\r\n\t}\r\n}\r\n\r\n@the-border: 1px;\r\n@base-color: #111;\r\n@red: #842210;\r\n\r\n#header {\r\n\tcolor: (@base-color * 3);\r\n\tborder-left: @the-border;\r\n\tborder-right: (@the-border * 2);\r\n}\r\n\r\n#footer {\r\n\tcolor: (@base-color + #003300);\r\n\tborder-color: desaturate(@red, 10%);\r\n}\r\n"
|
||
},
|
||
{
|
||
"name": "sample.lex.txt",
|
||
"content": "LEX Paid Escrow.\nLEXON: 0.2.20\nCOMMENT: 3.f - an escrow that is controlled by a third party for a fee.\n\n“Payer” is a person.\n“Payee” is a person.\n“Arbiter” is a person.\n“Fee” is an amount.\n\nThe Payer pays an Amount into escrow,\nappoints the Payee,\nappoints the Arbiter,\nand also fixes the Fee.\n\nCLAUSE: Pay Out.\nThe Arbiter may pay from escrow the Fee to themselves,\nand afterwards pay the remainder of the escrow to the Payee.\n\nCLAUSE: Pay Back.\nThe Arbiter may pay from escrow the Fee to themselves,\nand afterwards return the remainder of the escrow to the Payer.\n"
|
||
},
|
||
{
|
||
"name": "sample.lua.txt",
|
||
"content": " -- defines a factorial function\n function fact (n)\n if n == 0 then\n return 1\n else\n return n * fact(n-1)\n end\n end\n \n print(\"enter a number:\")\n a = io.read(\"*number\") -- read a number\n print(fact(a))"
|
||
},
|
||
{
|
||
"name": "sample.markdown.txt",
|
||
"content": "# Header 1 #\r\n## Header 2 ##\r\n### Header 3 ### (Hashes on right are optional)\r\n## Markdown plus h2 with a custom ID ## {#id-goes-here}\r\n[Link back to H2](#id-goes-here)\r\n\r\n```js\r\nvar x = \"string\";\r\nfunction f() {\r\n return x;\r\n}\r\n```\r\n\r\n<!-- html madness -->\r\n<div class=\"custom-class\" markdown=\"1\">\r\n <div>\r\n nested div\r\n </div>\r\n <script type='text/x-koka'>\r\n function( x: int ) { return x*x; }\r\n </script>\r\n This is a div _with_ underscores\r\n and a & <b class=\"bold\">bold</b> element.\r\n <style>\r\n body { font: \"Consolas\" }\r\n </style>\r\n</div>\r\n\r\n* Bullet lists are easy too\r\n- Another one\r\n+ Another one\r\n\r\nThis is a paragraph, which is text surrounded by\r\nwhitespace. Paragraphs can be on one\r\nline (or many), and can drone on for hours.\r\n\r\nNow some inline markup like _italics_, **bold**,\r\nand `code()`. Note that underscores\r\nin_words_are ignored.\r\n\r\n````application/json\r\n { value: [\"or with a mime type\"] }\r\n````\r\n\r\n> Blockquotes are like quoted text in email replies\r\n>> And, they can be nested\r\n\r\n1. A numbered list\r\n2. Which is numbered\r\n3. With periods and a space\r\n\r\nAnd now some code:\r\n\r\n // Code is just text indented a bit\r\n which(is_easy) to_remember();\r\n\r\nAnd a block\r\n\r\n~~~\r\n// Markdown extra adds un-indented code blocks too\r\n\r\nif (this_is_more_code == true && !indented) {\r\n // tild wrapped code blocks, also not indented\r\n}\r\n~~~\r\n\r\nText with\r\ntwo trailing spaces\r\n(on the right)\r\ncan be used\r\nfor things like poems\r\n\r\n### Horizontal rules\r\n\r\n* * * *\r\n****\r\n--------------------------\r\n\r\n\r\n\r\n## Markdown plus tables ##\r\n\r\n| Header | Header | Right |\r\n| ------ | ------ | -----: |\r\n| Cell | Cell | $10 |\r\n| Cell | Cell | $20 |\r\n\r\n* Outer pipes on tables are optional\r\n* Colon used for alignment (right versus left)\r\n\r\n## Markdown plus definition lists ##\r\n\r\nBottled water\r\n: $ 1.25\r\n: $ 1.55 (Large)\r\n\r\nMilk\r\nPop\r\n: $ 1.75\r\n\r\n* Multiple definitions and terms are possible\r\n* Definitions can include multiple paragraphs too\r\n\r\n*[ABBR]: Markdown plus abbreviations (produces an <abbr> tag)"
|
||
},
|
||
{
|
||
"name": "sample.mips.txt",
|
||
"content": "# A[i] = A[i/2] + 1;\n lw $t0, 0($gp) # fetch i\n srl $t1, $t0, 1 # i/2\n sll $t1, $t1, 2 # turn i/2 into a byte offset (*4)\n add $t1, $gp, $t1 # &A[i/2] - 28\n lw $t1, 28($t1) # fetch A[i/2]\n addi $t1, $t1, 1 # A[i/2] + 1\n sll $t2, $t0, 2 # turn i into a byte offset\n add $t2, $t2, $gp # &A[i] - 28\n sw $t1, 28($t2) # A[i] = ...\n# A[i+1] = -1;\n addi $t1, $zero, -1 # -1\n sw $t1, 32($t2) # A[i+1] = -1\n"
|
||
},
|
||
{
|
||
"name": "sample.msdax.txt",
|
||
"content": " = CALCULATE(SUM(Sales[SalesAmount]), PREVIOUSQUARTER(Calendar[DateKey]))"
|
||
},
|
||
{
|
||
"name": "sample.mysql.txt",
|
||
"content": "CREATE TABLE shop (\n article INT(4) UNSIGNED ZEROFILL DEFAULT '0000' NOT NULL,\n dealer CHAR(20) DEFAULT '' NOT NULL,\n price DOUBLE(16,2) DEFAULT '0.00' NOT NULL,\n PRIMARY KEY(article, dealer));\nINSERT INTO shop VALUES\n (1,'A',3.45),(1,'B',3.99),(2,'A',10.99),(3,'B',1.45),\n (3,'C',1.69),(3,'D',1.25),(4,'D',19.95);"
|
||
},
|
||
{
|
||
"name": "sample.objective-c.txt",
|
||
"content": "//\r\n// Copyright (c) Microsoft Corporation. All rights reserved.\r\n//\r\n\r\n#import \"UseQuotes.h\"\r\n#import <Use/GTLT.h> \r\n\r\n/*\r\n\tMulti \r\n\tLine\r\n\tComments \r\n*/\r\n@implementation Test\r\n\r\n- (void) applicationWillFinishLaunching:(NSNotification *)notification\r\n{\r\n}\r\n\r\n- (IBAction)onSelectInput:(id)sender\r\n{\r\n NSString* defaultDir = NSSearchPathForDirectoriesInDomains(NSDocumentDirectory, NSUserDomainMask, true)[0];\r\n \r\n NSOpenPanel* panel = [NSOpenPanel openPanel];\r\n [panel setAllowedFileTypes:[[NSArray alloc] initWithObjects:@\"ipa\", @\"xcarchive\", @\"app\", nil]];\r\n \r\n [panel beginWithCompletionHandler:^(NSInteger result)\r\n {\r\n if (result == NSFileHandlingPanelOKButton)\r\n [self.inputTextField setStringValue:[panel.URL path]];\r\n }];\r\n return YES;\r\n\r\n int hex = 0xFEF1F0F;\r\n\t float ing = 3.14;\r\n\t ing = 3.14e0;\r\n\t ing = 31.4e-2;\r\n}\r\n\r\n-(id) initWithParams:(id<anObject>) aHandler withDeviceStateManager:(id<anotherObject>) deviceStateManager\r\n{\r\n // add a tap gesture recognizer\r\n UITapGestureRecognizer *tapGesture = [[UITapGestureRecognizer alloc] initWithTarget:self action:@selector(handleTap:)];\r\n NSMutableArray *gestureRecognizers = [NSMutableArray array];\r\n [gestureRecognizers addObject:tapGesture];\r\n [gestureRecognizers addObjectsFromArray:scnView.gestureRecognizers];\r\n scnView.gestureRecognizers = gestureRecognizers;\r\n\r\n\treturn tapGesture;\r\n\treturn nil;\r\n}\r\n\r\n@end\r\n"
|
||
},
|
||
{
|
||
"name": "sample.pascal.txt",
|
||
"content": "program GreetingsNumberOfTimes;\n\n{$APPTYPE CONSOLE}\n\n{$R *.res}\n\nuses\n System.SysUtils;\n\nvar\n greetingsMessage: string;\n numberOfTimes, i: integer;\n\nbegin\n try\n { TODO -oUser -cConsole Main : Insert code here }\n greetingsMessage := 'Hello World!';\n numberOfTimes := 10;\n\n for i := 1 to numberOfTimes do\n begin\n Writeln(greetingsMessage);\n end;\n except\n on E: Exception do\n Writeln(E.ClassName, ': ', E.Message);\n end;\nend.\n"
|
||
},
|
||
{
|
||
"name": "sample.pascaligo.txt",
|
||
"content": "type storage is int\ntype parameter is\n Increment of int\n| Decrement of int\n| Reset\ntype return is list (operation) * storage\n// Two entrypoints\nfunction add (const store : storage; const delta : int) : storage is\n store + delta\nfunction sub (const store : storage; const delta : int) : storage is\n store - delta\n(* Main access point that dispatches to the entrypoints according to\n the smart contract parameter. *)\nfunction main (const action : parameter; const store : storage) : return is\n ((nil : list (operation)), // No operations\n case action of\n Increment (n) -> add (store, n)\n | Decrement (n) -> sub (store, n)\n | Reset -> 0\n end)\n"
|
||
},
|
||
{
|
||
"name": "sample.perl.txt",
|
||
"content": "#!/usr/bin/perl\nuse strict;\nuse warnings;\n\nuse Path::Tiny;\n\nmy $dir = path('foo','bar'); # foo/bar\n\n# Iterate over the content of foo/bar\nmy $iter = $dir->iterator;\nwhile (my $file = $iter->()) {\n\n # See if it is a directory and skip\n next if $file->is_dir();\n\n # Print out the file name and path\n print \"$file\\n\";\n}"
|
||
},
|
||
{
|
||
"name": "sample.pgsql.txt",
|
||
"content": "BEGIN\n SELECT * INTO STRICT myrec FROM emp WHERE empname = myname;\n EXCEPTION\n WHEN NO_DATA_FOUND THEN\n RAISE EXCEPTION 'employee % not found', myname;\n WHEN TOO_MANY_ROWS THEN\n RAISE EXCEPTION 'employee % not unique', myname;\nEND;"
|
||
},
|
||
{
|
||
"name": "sample.php.txt",
|
||
"content": "<?php\r\n// The next line contains a syntax error:\r\nif () {\r\n\treturn \"The parser recovers from this type of syntax error\";\r\n}\r\n?>\r\n<html>\r\n<head>\r\n\t<title>Example page</title>\r\n</head>\r\n\r\n<body>\r\n\r\n<script type=\"text/javascript\">\r\n\t// Some PHP embedded inside JS\r\n\t// Generated <?=date('l, F jS, Y')?>\r\n\t\r\n\tvar server_token = <?=rand(5, 10000)?>\r\n\tif (typeof server_token === 'number') {\r\n\t\talert('token: ' + server_token);\r\n\t}\r\n</script>\r\n\r\n<div>\r\nHello\r\n<? if (isset($user)) { ?>\r\n\t<b><?=$user?></b>\r\n<? } else { ?>\r\n\t<i>guest</i>\r\n<? } ?>\r\n!\r\n</div>\r\n\r\n<?php\r\n\r\n\t/* Example PHP file\r\n\tmultiline comment\r\n\t*/\r\n\r\n\t$cards = array(\"ah\", \"ac\", \"ad\", \"as\",\r\n\t\t\"2h\", \"2c\", \"2d\", \"2s\",\r\n\t\t\"3h\", \"3c\", \"3d\", \"3s\",\r\n\t\t\"4h\", \"4c\", \"4d\", \"4s\",\r\n\t\t\"5h\", \"5c\", \"5d\", \"5s\",\r\n\t\t\"6h\", \"6c\", \"6d\", \"6s\",\r\n\t\t\"7h\", \"7c\", \"7d\", \"7s\",\r\n\t\t\"8h\", \"8c\", \"8d\", \"8s\",\r\n\t\t\"9h\", \"9c\", \"9d\", \"9s\",\r\n\t\t\"th\", \"tc\", \"td\", \"ts\",\r\n\t\t\"jh\", \"jc\", \"jd\", \"js\",\r\n\t\t\"qh\", \"qc\", \"qd\", \"qs\",\r\n\t\t\"kh\", \"kc\", \"kd\", \"ks\");\r\n\r\n\tsrand(time());\r\n\r\n\tfor($i = 0; $i < 52; $i++) {\r\n\t\t$count = count($cards);\r\n\t\t$random = (rand()%$count);\r\n\r\n\t\tif($cards[$random] == \"\") {\r\n\t\t\t$i--;\r\n\t\t} else {\r\n\t\t\t$deck[] = $cards[$random];\r\n\t\t\t$cards[$random] = \"\";\r\n\t\t}\r\n\t}\r\n\r\n\tsrand(time());\r\n\t$starting_point = (rand()%51);\r\n\tprint(\"Starting point for cut cards is: $starting_point<p>\");\r\n\r\n\t// display shuffled cards (EXAMPLE ONLY)\r\n\tfor ($index = 0; $index < 52; $index++) {\r\n\t\tif ($starting_point == 52) { $starting_point = 0; }\r\n\t\tprint(\"Uncut Point: <strong>$deck[$index]</strong> \");\r\n\t\tprint(\"Starting Point: <strong>$deck[$starting_point]</strong><br>\");\r\n\t\t$starting_point++;\r\n\t}\r\n?>\r\n\r\n</body>\r\n</html>"
|
||
},
|
||
{
|
||
"name": "sample.plaintext.txt",
|
||
"content": "Lorem ipsum dolor sit amet, consectetur adipiscing elit. Donec cursus aliquet sapien, sed rhoncus leo ullamcorper ornare. Interdum et malesuada fames ac ante ipsum primis in faucibus. Phasellus feugiat eleifend nisl, aliquet rhoncus quam scelerisque vel. Morbi eu pellentesque ex. Nam suscipit maximus leo blandit cursus. Aenean sollicitudin nisi luctus, ornare nibh viverra, laoreet ex. Donec eget nibh sit amet dolor ornare elementum. Morbi sollicitudin enim vitae risus pretium vestibulum. Ut pretium hendrerit libero, non vulputate ante volutpat et. Vestibulum ante ipsum primis in faucibus orci luctus et ultrices posuere cubilia Curae; Nullam malesuada turpis vitae est porttitor, id tincidunt neque dignissim. Integer rhoncus vestibulum justo in iaculis. Praesent nec augue ut dui scelerisque gravida vel id velit. Donec vehicula feugiat mollis. Pellentesque habitant morbi tristique senectus et netus et malesuada fames ac turpis egestas.\r\n\r\nPraesent diam lorem, luctus quis ullamcorper non, consequat quis orci. Ut vel massa vel nunc sagittis porttitor a vitae ante. Quisque euismod lobortis imperdiet. Vestibulum tincidunt vehicula posuere. Nulla facilisi. Donec sodales imperdiet risus id ullamcorper. Nulla luctus orci tortor, vitae tincidunt urna aliquet nec. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. Etiam consequat dapibus massa. Sed ac pharetra magna, in imperdiet neque. Nullam nunc nisi, consequat vel nunc et, sagittis aliquam arcu. Aliquam non orci magna. Class aptent taciti sociosqu ad litora torquent per conubia nostra, per inceptos himenaeos. Sed id sem ut sem pulvinar rhoncus. Aenean venenatis nunc eget mi ornare, vitae maximus lacus varius. Quisque quis vestibulum justo.\r\n\r\nDonec euismod luctus volutpat. Donec sed lacinia enim. Vivamus aliquam elit cursus, convallis diam at, volutpat turpis. Sed lacinia nisl in auctor dapibus. Nunc turpis mi, mattis ut rhoncus id, lacinia sed lectus. Donec sodales tellus quis libero gravida pretium et quis magna. Etiam ultricies mollis purus, eget consequat velit. Duis vitae nibh vitae arcu tincidunt congue. Maecenas ut velit in ipsum condimentum dictum quis eget urna. Sed mattis nulla arcu, vitae mattis ligula dictum at.\r\n\r\nPraesent at dignissim dolor. Donec quis placerat sem. Cras vitae placerat sapien, eu sagittis ex. Mauris nec luctus risus. Cras imperdiet semper neque suscipit auctor. Mauris nisl massa, commodo sit amet dignissim id, malesuada sed ante. Praesent varius sapien eget eros vehicula porttitor.\r\n\r\nMauris auctor nunc in quam tempor, eget consectetur nisi rhoncus. Donec et nulla imperdiet, gravida dui at, accumsan velit. Pellentesque habitant morbi tristique senectus et netus et malesuada fames ac turpis egestas. Proin sollicitudin condimentum auctor. Sed lacinia eleifend nisi, id scelerisque leo laoreet sit amet. Morbi congue augue a malesuada pulvinar. Curabitur nec ante finibus, commodo orci vel, aliquam libero. Morbi molestie purus non nunc placerat fermentum. Pellentesque commodo ligula sed pretium aliquam. Praesent ut nibh ex. Vivamus vestibulum velit in leo suscipit, vitae pellentesque urna vulputate. Suspendisse pretium placerat ligula eu ullamcorper. Nam eleifend mi tellus, ut tristique ante ultricies vitae. Quisque venenatis dapibus tellus sit amet mattis. Donec erat arcu, elementum vel nisl at, sagittis vulputate nisi."
|
||
},
|
||
{
|
||
"name": "sample.postiats.txt",
|
||
"content": "// http://www.ats-lang.org/\n(* Say Hello! once *)\nval () = print\"Hello!\\n\"\n//\n(* Say Hello! 3 times *)\nval () = 3*delay(print\"Hello!\")\nval () = print_newline((*void*))\n//\n\n//\n(* Build a list of 3 *)\nval xs = $list{int}(0, 1, 2)\n//\nval x0 = xs[0] // legal\nval x1 = xs[1] // legal\nval x2 = xs[2] // legal\nval x3 = xs[3] // illegal\n//\n\n//\nextern\nfun{} f0 (): int\nextern\nfun{} f1 (int): int\nextern\nfun{} repeat_f0f1 (int): int\n//\nimplement\n{}(*tmp*)\nrepeat_f0f1(n) =\n if n = 0\n then f0()\n else f1(repeat_f0f1(n-1))\n // end of [if]\n//\nfun\ntimes (\n m:int, n:int\n) : int = // m*n\n repeat_f0f1 (m) where\n{\n implement f0<> () = 0\n implement f1<> (x) = x + n\n}\n//\nfun\npower (\n m:int, n:int\n) : int = // m^n\n repeat_f0f1 (n) where\n{\n implement f0<> () = 1\n implement f1<> (x) = m * x\n}\n//\nval () =\nprintln! (\"5*5 = \", times(5,5))\nval () =\nprintln! (\"5^2 = \", power(5,2))\nval () =\nprintln! (\"2^10 = \", power(2,10))\nval () =\nprintln! (\"3^10 = \", power(3,10))\n//\n"
|
||
},
|
||
{
|
||
"name": "sample.powerquery.txt",
|
||
"content": "let\n Source = Excel.CurrentWorkbook(){[Name=\"Table1\"]}[Content],\n SplitColumnDelimiter = Table.SplitColumn(Source,\"Input\",Splitter.SplitTextByDelimiter(\",\"),13),\n Unpivot = Table.Unpivot(SplitColumnDelimiter,{\"Input.1\", \"Input.2\", \"Input.3\", \"Input.4\",\n \"Input.5\", \"Input.6\", \"Input.7\", \"Input.8\", \"Input.9\", \"Input.10\", \"Input.11\", \"Input.12\"\n , \"Input.13\"},\"Attribute\",\"Value\"),\n RemovedColumns = Table.RemoveColumns(Unpivot,{\"Attribute\"}),\n DuplicatesRemoved = Table.Distinct(RemovedColumns),\n GroupedRows = Table.Group(DuplicatesRemoved, {\"RowID\"}, {{\"Count of Distinct Values\"\n , each Table.RowCount(_), type number}})\nin\n GroupedRows"
|
||
},
|
||
{
|
||
"name": "sample.powershell.txt",
|
||
"content": "$SelectedObjectNames=@();\n$XenCenterNodeSelected = 0;\n#the object info array contains hashmaps, each of which represent a parameter set and describe a target in the XenCenter resource list\nforeach($parameterSet in $ObjInfoArray)\n{\n\tif ($parameterSet[\"class\"] -eq \"blank\")\n\t{\n\t\t#When the XenCenter node is selected a parameter set is created for each of your connected servers with the class and objUuid keys marked as blank\n\t\tif ($XenCenterNodeSelected)\n\t\t{\n\t\t\tcontinue\n\t\t}\n\t\t$XenCenterNodeSelected = 1;\n\t\t$SelectedObjectNames += \"XenCenter\"\n\t}\n\telseif ($parameterSet[\"sessionRef\"] -eq \"null\")\n\t{\n\t\t#When a disconnected server is selected there is no session information, we get null for everything except class\n\t}\n\t\t$SelectedObjectNames += \"a disconnected server\"\n\telse\n\t{\n\t\tConnect-XenServer -url $parameterSet[\"url\"] -opaqueref $parameterSet[\"sessionRef\"]\n\t\t#Use $class to determine which server objects to get\n\t\t#-properties allows us to filter the results to just include the selected object\n\t\t$exp = \"Get-XenServer:{0} -properties @{{uuid='{1}'}}\" -f $parameterSet[\"class\"], $parameterSet[\"objUuid\"]\n\t\t$obj = Invoke-Expression $exp\n\t\t$SelectedObjectNames += $obj.name_label;\n\t} \n}"
|
||
},
|
||
{
|
||
"name": "sample.pug.txt",
|
||
"content": "doctype 5\nhtml(lang=\"en\")\n head\n title= pageTitle\n script(type='text/javascript')\n if (foo) {\n bar()\n }\n body\n // Disclaimer: You will need to turn insertSpaces to true in order for the\n syntax highlighting to kick in properly (especially for comments)\n Enjoy :)\n h1 Pug - node template engine\n #container\n if youAreUsingPug\n p You are amazing\n else\n p Get on it!"
|
||
},
|
||
{
|
||
"name": "sample.python.txt",
|
||
"content": "import banana\r\n\r\n\r\nclass Monkey:\r\n # Bananas the monkey can eat.\r\n capacity = 10\r\n def eat(self, n):\r\n \"\"\"Make the monkey eat n bananas!\"\"\"\r\n self.capacity -= n * banana.size\r\n\r\n def feeding_frenzy(self):\r\n self.eat(9.25)\r\n return \"Yum yum\"\r\n"
|
||
},
|
||
{
|
||
"name": "sample.r.txt",
|
||
"content": "# © Microsoft. All rights reserved.\r\n\r\n#' Add together two numbers.\r\n#' \r\n#' @param x A number.\r\n#' @param y A number.\r\n#' @return The sum of \\code{x} and \\code{y}.\r\n#' @examples\r\n#' add(1, 1)\r\n#' add(10, 1)\r\nadd <- function(x, y) {\r\n x + y\r\n}\r\n\r\nadd(1, 2)\r\nadd(1.0, 2.0)\r\nadd(-1, -2)\r\nadd(-1.0, -2.0)\r\nadd(1.0e10, 2.0e10)\r\n\r\n\r\n#' Concatenate together two strings.\r\n#' \r\n#' @param x A string.\r\n#' @param y A string.\r\n#' @return The concatenated string built of \\code{x} and \\code{y}.\r\n#' @examples\r\n#' strcat(\"one\", \"two\")\r\nstrcat <- function(x, y) {\r\n paste(x, y)\r\n}\r\n\r\npaste(\"one\", \"two\")\r\npaste('one', 'two')\r\npaste(NULL, NULL)\r\npaste(NA, NA)\r\n\r\npaste(\"multi-\r\n line\",\r\n 'multi-\r\n line')\r\n"
|
||
},
|
||
{
|
||
"name": "sample.razor.txt",
|
||
"content": "@{\r\n var total = 0;\r\n var totalMessage = \"\";\r\n @* a multiline\r\n razor comment embedded in csharp *@\r\n if (IsPost) {\r\n\r\n // Retrieve the numbers that the user entered.\r\n var num1 = Request[\"text1\"];\r\n var num2 = Request[\"text2\"];\r\n\r\n // Convert the entered strings into integers numbers and add.\r\n total = num1.AsInt() + num2.AsInt();\r\n\t\t<italic><bold>totalMessage = \"Total = \" + total;</bold></italic>\r\n }\r\n}\r\n\r\n<!DOCTYPE html>\r\n<html lang=\"en\">\r\n <head>\r\n <title>Add Numbers</title>\r\n <meta charset=\"utf-8\" />\r\n </head>\r\n<body>\r\n <p>Enter two whole numbers and then click <strong>Add</strong>.</p>\r\n <form action=\"\" method=\"post\">\r\n <p><label for=\"text1\">First Number:</label>\r\n <input type=\"text\" name=\"text1\" />\r\n </p>\r\n <p><label for=\"text2\">Second Number:</label>\r\n <input type=\"text\" name=\"text2\" />\r\n </p>\r\n <p><input type=\"submit\" value=\"Add\" /></p>\r\n </form>\r\n\r\n\t@* now we call the totalMessage method \r\n\t (a multi line razor comment outside code) *@\r\n\r\n <p>@totalMessage</p>\r\n \r\n <p>@(totalMessage+\"!\")</p>\r\n \r\n An email address (with escaped at character): name@@domain.com\r\n \r\n</body>\r\n</html>\r\n"
|
||
},
|
||
{
|
||
"name": "sample.redis.txt",
|
||
"content": "EXISTS mykey\nAPPEND mykey \"Hello\"\nAPPEND mykey \" World\"\nGET mykey"
|
||
},
|
||
{
|
||
"name": "sample.redshift.txt",
|
||
"content": "create view tables_vw as\nselect distinct(id) table_id\n,trim(datname) db_name\n,trim(nspname) schema_name\n,trim(relname) table_name\nfrom stv_tbl_perm\njoin pg_class on pg_class.oid = stv_tbl_perm.id\njoin pg_namespace on pg_namespace.oid = relnamespace\njoin pg_database on pg_database.oid = stv_tbl_perm.db_id;\n"
|
||
},
|
||
{
|
||
"name": "sample.restructuredtext.txt",
|
||
"content": "=================\nMy Project Readme\n=================\n-------------------------\nClever subtitle goes here\n-------------------------\n\nIntroduction\n============\n\nThis is an example reStructuredText document that starts at the very top\nwith a title and a sub-title. There is one primary header, Introduction.\nThere is one example subheading below.\nThe document is just plain text so it is easily readable even before\nbeing converted to HTML, man page, PDF or other formats.\n\nSubheading\n----------\n\nThe basic syntax is not that different from Markdown, but it also\nhas many more powerful features that Markdown doesn't have. We aren't\ntaking advantage of those yet though.\n\n- Bullet points\n- Are intuitive\n- And simple too\n"
|
||
},
|
||
{
|
||
"name": "sample.ruby.txt",
|
||
"content": "#-------------------------------------------------------------------------\r\n# Copyright (c) Microsoft. All rights reserved.\r\n#--------------------------------------------------------------------------\r\n\r\nmodule Azure\r\n module Blob\r\n class Blob\r\n \r\n def initialize\r\n @properties = {}\r\n @metadata = {}\r\n yield self if block_given?\r\n end\r\n\r\n attr_accessor :name\r\n attr_accessor :snapshot\r\n attr_accessor :properties\r\n attr_accessor :metadata\r\n end\r\n end\r\nend"
|
||
},
|
||
{
|
||
"name": "sample.rust.txt",
|
||
"content": "fn main() {\n let greetings = [\"Hello\", \"Hola\", \"Bonjour\",\n \"Ciao\", \"こんにちは\", \"안녕하세요\",\n \"Cześć\", \"Olá\", \"Здравствуйте\",\n \"Chào bạn\", \"您好\", \"Hallo\",\n \"Hej\", \"Ahoj\", \"سلام\"];\n\n for (num, greeting) in greetings.iter().enumerate() {\n print!(\"{} : \", greeting);\n match num {\n 0 => println!(\"This code is editable and runnable!\"),\n 1 => println!(\"¡Este código es editable y ejecutable!\"),\n 2 => println!(\"Ce code est modifiable et exécutable !\"),\n 3 => println!(\"Questo codice è modificabile ed eseguibile!\"),\n 4 => println!(\"このコードは編集して実行出来ます!\"),\n 5 => println!(\"여기에서 코드를 수정하고 실행할 수 있습니다!\"),\n 6 => println!(\"Ten kod można edytować oraz uruchomić!\"),\n 7 => println!(\"Este código é editável e executável!\"),\n 8 => println!(\"Этот код можно отредактировать и запустить!\"),\n 9 => println!(\"Bạn có thể edit và run code trực tiếp!\"),\n 10 => println!(\"这段代码是可以编辑并且能够运行的!\"),\n 11 => println!(\"Dieser Code kann bearbeitet und ausgeführt werden!\"),\n 12 => println!(\"Den här koden kan redigeras och köras!\"),\n 13 => println!(\"Tento kód můžete upravit a spustit\"),\n 14 => println!(\"این کد قابلیت ویرایش و اجرا دارد!\"),\n _ => {},\n }\n }\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.sb.txt",
|
||
"content": "begin:\nTextWindow.Write(\"Enter a number: \")\nnum = TextWindow.ReadNumber()\nremainder = Math.Remainder(num, 2)\nIf (remainder = 0) Then\n TextWindow.WriteLine(\"The number is Even\")\nElse\n TextWindow.WriteLine(\"The number is Odd\")\nEndIf\nGoto begin"
|
||
},
|
||
{
|
||
"name": "sample.scala.txt",
|
||
"content": "package examples\n\n/** Quick sort, imperative style */\nobject sort {\n\n /** Nested methods can use and even update everything\n * visible in their scope (including local variables or\n * arguments of enclosing methods).\n */\n def sort(a: Array[Int]) {\n\n def swap(i: Int, j: Int) {\n val t = a(i); a(i) = a(j); a(j) = t\n }\n\n def sort1(l: Int, r: Int) {\n val pivot = a((l + r) / 2)\n var i = l\n var j = r\n while (i <= j) {\n while (a(i) < pivot) i += 1\n while (a(j) > pivot) j -= 1\n if (i <= j) {\n swap(i, j)\n i += 1\n j -= 1\n }\n }\n if (l < j) sort1(l, j)\n if (j < r) sort1(i, r)\n }\n\n if (a.length > 0)\n sort1(0, a.length - 1)\n }\n\n def println(ar: Array[Int]) {\n def print1 = {\n def iter(i: Int): String =\n ar(i) + (if (i < ar.length-1) \",\" + iter(i+1) else \"\")\n if (ar.length == 0) \"\" else iter(0)\n }\n Console.println(\"[\" + print1 + \"]\")\n }\n\n def main(args: Array[String]) {\n val ar = Array(6, 2, 8, 5, 1)\n println(ar)\n sort(ar)\n println(ar)\n }\n\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.scheme.txt",
|
||
"content": ";;; make-matrix creates a matrix (a vector of vectors).\n(define make-matrix\n (lambda (rows columns)\n (do ((m (make-vector rows))\n (i 0 (+ i 1)))\n ((= i rows) m)\n (vector-set! m i (make-vector columns)))))\n\n;;; matrix? checks to see if its argument is a matrix.\n;;; It isn't foolproof, but it's generally good enough.\n(define matrix?\n (lambda (x)\n (and (vector? x)\n (> (vector-length x) 0)\n (vector? (vector-ref x 0)))))\n\n;; matrix-rows returns the number of rows in a matrix.\n(define matrix-rows\n (lambda (x)\n (vector-length x)))\n\n;; matrix-columns returns the number of columns in a matrix.\n(define matrix-columns\n (lambda (x)\n (vector-length (vector-ref x 0))))\n\n;;; matrix-ref returns the jth element of the ith row.\n(define matrix-ref\n (lambda (m i j)\n (vector-ref (vector-ref m i) j)))\n\n;;; matrix-set! changes the jth element of the ith row.\n(define matrix-set!\n (lambda (m i j x)\n (vector-set! (vector-ref m i) j x)))\n\n;;; mul is the generic matrix/scalar multiplication procedure\n(define mul\n (lambda (x y)\n ;; mat-sca-mul multiplies a matrix by a scalar.\n (define mat-sca-mul\n (lambda (m x)\n (let* ((nr (matrix-rows m))\n (nc (matrix-columns m))\n (r (make-matrix nr nc)))\n (do ((i 0 (+ i 1)))\n ((= i nr) r)\n (do ((j 0 (+ j 1)))\n ((= j nc))\n (matrix-set! r i j\n (* x (matrix-ref m i j))))))))\n\n ;; mat-mat-mul multiplies one matrix by another, after verifying\n ;; that the first matrix has as many columns as the second\n ;; matrix has rows.\n (define mat-mat-mul\n (lambda (m1 m2)\n (let* ((nr1 (matrix-rows m1))\n (nr2 (matrix-rows m2))\n (nc2 (matrix-columns m2))\n (r (make-matrix nr1 nc2)))\n (if (not (= (matrix-columns m1) nr2))\n (match-error m1 m2))\n (do ((i 0 (+ i 1)))\n ((= i nr1) r)\n (do ((j 0 (+ j 1)))\n ((= j nc2))\n (do ((k 0 (+ k 1))\n (a 0\n (+ a\n (* (matrix-ref m1 i k)\n (matrix-ref m2 k j)))))\n ((= k nr2)\n (matrix-set! r i j a))))))))\n\n ;; type-error is called to complain when mul receives an invalid\n ;; type of argument.\n (define type-error\n (lambda (what)\n (error 'mul\n \"~s is not a number or matrix\"\n what)))\n\n ;; match-error is called to complain when mul receives a pair of\n ;; incompatible arguments.\n (define match-error\n (lambda (what1 what2)\n (error 'mul\n \"~s and ~s are incompatible operands\"\n what1\n what2)))\n\n ;; body of mul; dispatch based on input types\n (cond\n ((number? x)\n (cond\n ((number? y) (* x y))\n ((matrix? y) (mat-sca-mul y x))\n (else (type-error y))))\n ((matrix? x)\n (cond\n ((number? y) (mat-sca-mul x y))\n ((matrix? y) (mat-mat-mul x y))\n (else (type-error y))))\n (else (type-error x)))))"
|
||
},
|
||
{
|
||
"name": "sample.scss.txt",
|
||
"content": "$baseFontSizeInPixels: 14;\r\n\r\n@function px2em ($font_size, $base_font_size: $baseFontSizeInPixels) { \r\n @return ($font_size / $base_font_size) + em; \r\n}\r\n\r\nh1 {\r\n font-size: px2em(36, $baseFontSizeInPixels);\r\n}\r\nh2 {\r\n font-size: px2em(28, $baseFontSizeInPixels);\r\n}\r\n.class {\r\n font-size: px2em(14, $baseFontSizeInPixels);\r\n}\r\n\r\nnav {\r\n ul {\r\n margin: 0;\r\n padding: 0;\r\n list-style: none;\r\n }\r\n\r\n li { display: inline-block; }\r\n\r\n a {\r\n display: block;\r\n padding: 6px 12px;\r\n text-decoration: none;\r\n }\r\n \r\n @each $animal in puma, sea-slug, egret, salamander {\r\n .#{$animal}-icon {\r\n background-image: url('/images/#{$animal}.png');\r\n }\r\n }\r\n}"
|
||
},
|
||
{
|
||
"name": "sample.shell.txt",
|
||
"content": "#!/bin/bash\n# Simple line count example, using bash\n#\n# Bash tutorial: http://linuxconfig.org/Bash_scripting_Tutorial#8-2-read-file-into-bash-array\n# My scripting link: http://www.macs.hw.ac.uk/~hwloidl/docs/index.html#scripting\n#\n# Usage: ./line_count.sh file\n# -----------------------------------------------------------------------------\n\n# Link filedescriptor 10 with stdin\nexec 10<&0\n# stdin replaced with a file supplied as a first argument\nexec < $1\n# remember the name of the input file\nin=$1\n\n# init\nfile=\"current_line.txt\"\nlet count=0\n\n# this while loop iterates over all lines of the file\nwhile read LINE\ndo\n # increase line counter\n ((count++))\n # write current line to a tmp file with name $file (not needed for counting)\n echo $LINE > $file\n # this checks the return code of echo (not needed for writing; just for demo)\n if [ $? -ne 0 ]\n then echo \"Error in writing to file ${file}; check its permissions!\"\n fi\ndone\n\necho \"Number of lines: $count\"\necho \"The last line of the file is: `cat ${file}`\"\n\n# Note: You can achieve the same by just using the tool wc like this\necho \"Expected number of lines: `wc -l $in`\"\n\n# restore stdin from filedescriptor 10\n# and close filedescriptor 10\nexec 0<&10 10<&-"
|
||
},
|
||
{
|
||
"name": "sample.sol.txt",
|
||
"content": "pragma solidity ^0.4.11;\n\n/// @title Voting with delegation.\ncontract Ballot {\n // This declares a new complex type which will\n // be used for variables later.\n // It will represent a single voter.\n struct Voter {\n uint weight; // weight is accumulated by delegation\n bool voted; // if true, that person already voted\n address delegate; // person delegated to\n uint vote; // index of the voted proposal\n }\n\n // This is a type for a single proposal.\n struct Proposal {\n bytes32 name; // short name (up to 32 bytes)\n uint voteCount; // number of accumulated votes\n }\n\n address public chairperson;\n\n // This declares a state variable that\n // stores a `Voter` struct for each possible address.\n mapping(address => Voter) public voters;\n\n // A dynamically-sized array of `Proposal` structs.\n Proposal[] public proposals;\n\n /// Create a new ballot to choose one of `proposalNames`.\n function Ballot(bytes32[] proposalNames) {\n chairperson = msg.sender;\n voters[chairperson].weight = 1;\n\n // For each of the provided proposal names,\n // create a new proposal object and add it\n // to the end of the array.\n for (uint i = 0; i < proposalNames.length; i++) {\n // `Proposal({...})` creates a temporary\n // Proposal object and `proposals.push(...)`\n // appends it to the end of `proposals`.\n proposals.push(Proposal({\n name: proposalNames[i],\n voteCount: 0\n }));\n }\n }\n\n // Give `voter` the right to vote on this ballot.\n // May only be called by `chairperson`.\n function giveRightToVote(address voter) {\n // If the argument of `require` evaluates to `false`,\n // it terminates and reverts all changes to\n // the state and to Ether balances. It is often\n // a good idea to use this if functions are\n // called incorrectly. But watch out, this\n // will currently also consume all provided gas\n // (this is planned to change in the future).\n require((msg.sender == chairperson) && !voters[voter].voted && (voters[voter].weight == 0));\n voters[voter].weight = 1;\n }\n\n /// Delegate your vote to the voter `to`.\n function delegate(address to) {\n // assigns reference\n Voter sender = voters[msg.sender];\n require(!sender.voted);\n\n // Self-delegation is not allowed.\n require(to != msg.sender);\n\n // Forward the delegation as long as\n // `to` also delegated.\n // In general, such loops are very dangerous,\n // because if they run too long, they might\n // need more gas than is available in a block.\n // In this case, the delegation will not be executed,\n // but in other situations, such loops might\n // cause a contract to get \"stuck\" completely.\n while (voters[to].delegate != address(0)) {\n to = voters[to].delegate;\n\n // We found a loop in the delegation, not allowed.\n require(to != msg.sender);\n }\n\n // Since `sender` is a reference, this\n // modifies `voters[msg.sender].voted`\n sender.voted = true;\n sender.delegate = to;\n Voter delegate = voters[to];\n if (delegate.voted) {\n // If the delegate already voted,\n // directly add to the number of votes\n proposals[delegate.vote].voteCount += sender.weight;\n } else {\n // If the delegate did not vote yet,\n // add to her weight.\n delegate.weight += sender.weight;\n }\n }\n\n /// Give your vote (including votes delegated to you)\n /// to proposal `proposals[proposal].name`.\n function vote(uint proposal) {\n Voter sender = voters[msg.sender];\n require(!sender.voted);\n sender.voted = true;\n sender.vote = proposal;\n\n // If `proposal` is out of the range of the array,\n // this will throw automatically and revert all\n // changes.\n proposals[proposal].voteCount += sender.weight;\n }\n\n /// @dev Computes the winning proposal taking all\n /// previous votes into account.\n function winningProposal() constant\n returns (uint winningProposal)\n {\n uint winningVoteCount = 0;\n for (uint p = 0; p < proposals.length; p++) {\n if (proposals[p].voteCount > winningVoteCount) {\n winningVoteCount = proposals[p].voteCount;\n winningProposal = p;\n }\n }\n }\n\n // Calls winningProposal() function to get the index\n // of the winner contained in the proposals array and then\n // returns the name of the winner\n function winnerName() constant\n returns (bytes32 winnerName)\n {\n winnerName = proposals[winningProposal()].name;\n }\n}"
|
||
},
|
||
{
|
||
"name": "sample.sql.txt",
|
||
"content": "CREATE TABLE dbo.EmployeePhoto\n(\n EmployeeId INT NOT NULL PRIMARY KEY,\n Photo VARBINARY(MAX) FILESTREAM NULL,\n MyRowGuidColumn UNIQUEIDENTIFIER NOT NULL ROWGUIDCOL\n UNIQUE DEFAULT NEWID()\n);\n\nGO\n\n/*\ntext_of_comment\n/* nested comment */\n*/\n\n-- line comment\n\nCREATE NONCLUSTERED INDEX IX_WorkOrder_ProductID\n ON Production.WorkOrder(ProductID)\n WITH (FILLFACTOR = 80,\n PAD_INDEX = ON,\n DROP_EXISTING = ON);\nGO\n\nWHILE (SELECT AVG(ListPrice) FROM Production.Product) < $300\nBEGIN\n UPDATE Production.Product\n SET ListPrice = ListPrice * 2\n SELECT MAX(ListPrice) FROM Production.Product\n IF (SELECT MAX(ListPrice) FROM Production.Product) > $500\n BREAK\n ELSE\n CONTINUE\nEND\nPRINT 'Too much for the market to bear';\n\nMERGE INTO Sales.SalesReason AS [Target]\nUSING (VALUES ('Recommendation','Other'), ('Review', 'Marketing'), ('Internet', 'Promotion'))\n AS [Source] ([NewName], NewReasonType)\nON [Target].[Name] = [Source].[NewName]\nWHEN MATCHED\nTHEN UPDATE SET ReasonType = [Source].NewReasonType\nWHEN NOT MATCHED BY TARGET\nTHEN INSERT ([Name], ReasonType) VALUES ([NewName], NewReasonType)\nOUTPUT $action INTO @SummaryOfChanges;\n\nSELECT ProductID, OrderQty, SUM(LineTotal) AS Total\nFROM Sales.SalesOrderDetail\nWHERE UnitPrice < $5.00\nGROUP BY ProductID, OrderQty\nORDER BY ProductID, OrderQty\nOPTION (HASH GROUP, FAST 10);\n"
|
||
},
|
||
{
|
||
"name": "sample.st.txt",
|
||
"content": "CONFIGURATION DefaultCfg\n VAR_GLOBAL\n Start_Stop AT %IX0.0: BOOL; (* This is a comment *)\n END_VAR\n TASK NewTask (INTERVAL := T#20ms);\n PROGRAM Main WITH NewTask : PLC_PRG;\nEND_CONFIGURATION\n\nPROGRAM demo\n VAR_EXTERNAL\n Start_Stop: BOOL;\n END_VAR\n VAR\n a : REAL; // Another comment\n todTest: TIME_OF_DAY := TOD#12:55;\n END_VAR\n a := csq(12.5);\n TON1(IN := TRUE, PT := T#2s);\n 16#FAC0 2#1001_0110\n IF TON1.Q AND a > REAL#100 THEN\n Start_Stop := TRUE;\n END_IF\nEND_PROGRAM;\n\n/* Get a square of the circle */\nFUNCTION csq : REAL\n VAR_INPUT\n r: REAL;\n END_VAR\n VAR CONSTANT\n c_pi: REAL := 3.14;\n END_VAR\n csq := ABS(c_pi * (r * 2));\nEND_FUNCTION"
|
||
},
|
||
{
|
||
"name": "sample.swift.txt",
|
||
"content": "import Foundation\r\n\r\nprotocol APIControllerProtocol {\r\n func didReceiveAPIResults(results: NSArray)\r\n}\r\n\r\nclass APIController {\r\n var delegate: APIControllerProtocol\r\n\r\n init(delegate: APIControllerProtocol) {\r\n self.delegate = delegate\r\n }\r\n\r\n func get(path: String) {\r\n let url = NSURL(string: path)\r\n let session = NSURLSession.sharedSession()\r\n let task = session.dataTaskWithURL(url!, completionHandler: {data, response, error -> Void in\r\n println(\"Task completed\")\r\n if(error != nil) {\r\n // If there is an error in the web request, print it to the console\r\n println(error.localizedDescription)\r\n }\r\n var err: NSError?\r\n if let jsonResult = NSJSONSerialization.JSONObjectWithData(data, options: NSJSONReadingOptions.MutableContainers, error: &err) as? NSDictionary {\r\n if(err != nil) {\r\n // If there is an error parsing JSON, print it to the console\r\n println(\"JSON Error \\(err!.localizedDescription)\")\r\n }\r\n if let results: NSArray = jsonResult[\"results\"] as? NSArray {\r\n self.delegate.didReceiveAPIResults(results)\r\n }\r\n }\r\n })\r\n\r\n // The task is just an object with all these properties set\r\n // In order to actually make the web request, we need to \"resume\"\r\n task.resume()\r\n }\r\n\r\n func searchItunesFor(searchTerm: String) {\r\n // The iTunes API wants multiple terms separated by + symbols, so replace spaces with + signs\r\n let itunesSearchTerm = searchTerm.stringByReplacingOccurrencesOfString(\" \", withString: \"+\", options: NSStringCompareOptions.CaseInsensitiveSearch, range: nil)\r\n\r\n // Now escape anything else that isn't URL-friendly\r\n if let escapedSearchTerm = itunesSearchTerm.stringByAddingPercentEscapesUsingEncoding(NSUTF8StringEncoding) {\r\n let urlPath = \"https://itunes.apple.com/search?term=\\(escapedSearchTerm)&media=music&entity=album\"\r\n }\r\n }\r\n\r\n}"
|
||
},
|
||
{
|
||
"name": "sample.systemverilog.txt",
|
||
"content": "// File : tb_top.sv\nmodule tb_top ();\n\n\treg clk;\n\treg resetn;\n\treg d;\n\twire q;\n\n\t// Instantiate the design\n\td_ff d_ff0 (\t.clk (clk),\n\t\t \t\t.resetn (resetn),\n\t\t \t\t.d (d),\n\t\t \t\t.q (q));\n\n\t// Create a clock\n\talways #10 clk <= ~clk;\n\n\tinitial begin\n\t\tresetn <= 0;\n\t\td <= 0;\n\n\t\t#10 resetn <= 1;\n\t\t#5 d <= 1;\n\t\t#8 d <= 0;\n\t\t#2 d <= 1;\n\t\t#10 d <= 0;\n\tend\nendmodule\n"
|
||
},
|
||
{
|
||
"name": "sample.tcl.txt",
|
||
"content": "proc find {{basedir .} {filterScript {}}} {\n set oldwd [pwd]\n cd $basedir\n set cwd [pwd]\n set filenames [glob -nocomplain * .*]\n set files {}\n set filt [string length $filterScript]\n foreach filename $filenames {\n if {!$filt || [eval $filterScript [list $filename]]} {\n lappend files [file join $cwd $filename]\n }\n if {[file isdirectory $filename]} {\n set files [concat $files [find $filename $filterScript]]\n }\n }\n cd $oldwd\n return $files\n}\n"
|
||
},
|
||
{
|
||
"name": "sample.twig.txt",
|
||
"content": "<!DOCTYPE html>\n<html>\n <head>\n <meta charset=\"UTF-8\">\n <title>{% block title %}Welcome!{% endblock %}</title>\n {% block stylesheets %}{% endblock %}\n </head>\n <body>\n {% block body %}{% endblock %}\n {% block javascripts %}{% endblock %}\n </body>\n</html>\n"
|
||
},
|
||
{
|
||
"name": "sample.typescript.txt",
|
||
"content": "/* Game of Life\r\n * Implemented in TypeScript\r\n * To learn more about TypeScript, please visit http://www.typescriptlang.org/\r\n */\r\n\r\nnamespace Conway {\r\n\r\n\texport class Cell {\r\n\t\tpublic row: number;\r\n\t\tpublic col: number;\r\n\t\tpublic live: boolean;\r\n\r\n\t\tconstructor(row: number, col: number, live: boolean) {\r\n\t\t\tthis.row = row;\r\n\t\t\tthis.col = col;\r\n\t\t\tthis.live = live;\r\n\t\t}\r\n\t}\r\n\r\n\texport class GameOfLife {\r\n\t\tprivate gridSize: number;\r\n\t\tprivate canvasSize: number;\r\n\t\tprivate lineColor: string;\r\n\t\tprivate liveColor: string;\r\n\t\tprivate deadColor: string;\r\n\t\tprivate initialLifeProbability: number;\r\n\t\tprivate animationRate: number;\r\n\t\tprivate cellSize: number;\r\n\t\tprivate context: CanvasRenderingContext2D;\r\n\t\tprivate world;\r\n\r\n\r\n\t\tconstructor() {\r\n\t\t\tthis.gridSize = 50;\r\n\t\t\tthis.canvasSize = 600;\r\n\t\t\tthis.lineColor = '#cdcdcd';\r\n\t\t\tthis.liveColor = '#666';\r\n\t\t\tthis.deadColor = '#eee';\r\n\t\t\tthis.initialLifeProbability = 0.5;\r\n\t\t\tthis.animationRate = 60;\r\n\t\t\tthis.cellSize = 0;\r\n\t\t\tthis.world = this.createWorld();\r\n\t\t\tthis.circleOfLife();\r\n\t\t}\r\n\r\n\t\tpublic createWorld() {\r\n\t\t\treturn this.travelWorld( (cell : Cell) => {\r\n\t\t\t\tcell.live = Math.random() < this.initialLifeProbability;\r\n\t\t\t\treturn cell;\r\n\t\t\t});\r\n\t\t}\r\n\r\n\t\tpublic circleOfLife() : void {\r\n\t\t\tthis.world = this.travelWorld( (cell: Cell) => {\r\n\t\t\t\tcell = this.world[cell.row][cell.col];\r\n\t\t\t\tthis.draw(cell);\r\n\t\t\t\treturn this.resolveNextGeneration(cell);\r\n\t\t\t});\r\n\t\t\tsetTimeout( () => {this.circleOfLife()}, this.animationRate);\r\n\t\t}\r\n\r\n\t\tpublic resolveNextGeneration(cell : Cell) {\r\n\t\t\tvar count = this.countNeighbors(cell);\r\n\t\t\tvar newCell = new Cell(cell.row, cell.col, cell.live);\r\n\t\t\tif(count < 2 || count > 3) newCell.live = false;\r\n\t\t\telse if(count == 3) newCell.live = true;\r\n\t\t\treturn newCell;\r\n\t\t}\r\n\r\n\t\tpublic countNeighbors(cell : Cell) {\r\n\t\t\tvar neighbors = 0;\r\n\t\t\tfor(var row = -1; row <=1; row++) {\r\n\t\t\t\tfor(var col = -1; col <= 1; col++) {\r\n\t\t\t\t\tif(row == 0 && col == 0) continue;\r\n\t\t\t\t\tif(this.isAlive(cell.row + row, cell.col + col)) {\r\n\t\t\t\t\t\tneighbors++;\r\n\t\t\t\t\t}\r\n\t\t\t\t}\r\n\t\t\t}\r\n\t\t\treturn neighbors;\r\n\t\t}\r\n\r\n\t\tpublic isAlive(row : number, col : number) {\r\n\t\t\tif(row < 0 || col < 0 || row >= this.gridSize || col >= this.gridSize) return false;\r\n\t\t\treturn this.world[row][col].live;\r\n\t\t}\r\n\r\n\t\tpublic travelWorld(callback) {\r\n\t\t\tvar result = [];\r\n\t\t\tfor(var row = 0; row < this.gridSize; row++) {\r\n\t\t\t\tvar rowData = [];\r\n\t\t\t\tfor(var col = 0; col < this.gridSize; col++) {\r\n\t\t\t\t\trowData.push(callback(new Cell(row, col, false)));\r\n\t\t\t\t}\r\n\t\t\t\tresult.push(rowData);\r\n\t\t\t}\r\n\t\t\treturn result;\r\n\t\t}\r\n\r\n\t\tpublic draw(cell : Cell) {\r\n\t\t\tif(this.context == null) this.context = this.createDrawingContext();\r\n\t\t\tif(this.cellSize == 0) this.cellSize = this.canvasSize/this.gridSize;\r\n\r\n\t\t\tthis.context.strokeStyle = this.lineColor;\r\n\t\t\tthis.context.strokeRect(cell.row * this.cellSize, cell.col*this.cellSize, this.cellSize, this.cellSize);\r\n\t\t\tthis.context.fillStyle = cell.live ? this.liveColor : this.deadColor;\r\n\t\t\tthis.context.fillRect(cell.row * this.cellSize, cell.col*this.cellSize, this.cellSize, this.cellSize);\r\n\t\t}\r\n\r\n\t\tpublic createDrawingContext() {\r\n\t\t\tvar canvas = <HTMLCanvasElement> document.getElementById('conway-canvas');\r\n\t\t\tif(canvas == null) {\r\n\t\t\t\t\tcanvas = document.createElement('canvas');\r\n\t\t\t\t\tcanvas.id = 'conway-canvas';\r\n\t\t\t\t\tcanvas.width = this.canvasSize;\r\n\t\t\t\t\tcanvas.height = this.canvasSize;\r\n\t\t\t\t\tdocument.body.appendChild(canvas);\r\n\t\t\t}\r\n\t\t\treturn canvas.getContext('2d');\r\n\t\t}\r\n\t}\r\n}\r\n\r\nvar game = new Conway.GameOfLife();\r\n"
|
||
},
|
||
{
|
||
"name": "sample.vb.txt",
|
||
"content": "Imports System\r\nImports System.Collections.Generic\r\n\r\nModule Module1\r\n\r\n Sub Main()\r\n Dim a As New M8Ball\r\n\r\n Do While True\r\n\r\n Dim q As String = \"\"\r\n Console.Write(\"ask me about the future... \")\r\n q = Console.ReadLine()\r\n\r\n If q.Trim <> \"\" Then\r\n Console.WriteLine(\"the answer is... {0}\", a.getAnswer(q))\r\n Else\r\n Exit Do\r\n End If\r\n Loop\r\n\r\n End Sub\r\n\r\nEnd Module\r\n\r\nClass M8Ball\r\n\r\n Public Answers As System.Collections.Generic.Dictionary(Of Integer, String)\r\n\r\n Public Sub New()\r\n Answers = New System.Collections.Generic.Dictionary(Of Integer, String)\r\n Answers.Add(0, \"It is certain\")\r\n Answers.Add(1, \"It is decidedly so\")\r\n Answers.Add(2, \"Without a doubt\")\r\n Answers.Add(3, \"Yes, definitely\")\r\n Answers.Add(4, \"You may rely on \")\r\n Answers.Add(5, \"As I see it, yes\")\r\n Answers.Add(6, \"Most likely\")\r\n Answers.Add(7, \"Outlook good\")\r\n Answers.Add(8, \"Signs point to yes\")\r\n Answers.Add(9, \"Yes\")\r\n Answers.Add(10, \"Reply hazy, try again\")\r\n Answers.Add(11, \"Ask again later\")\r\n Answers.Add(12, \"Better not tell you now\")\r\n Answers.Add(13, \"Cannot predict now\")\r\n Answers.Add(14, \"Concentrate and ask again\")\r\n Answers.Add(15, \"Don't count on it\")\r\n Answers.Add(16, \"My reply is no\")\r\n Answers.Add(17, \"My sources say no\")\r\n Answers.Add(18, \"Outlook not so\")\r\n Answers.Add(19, \"Very doubtful\")\r\n End Sub\r\n\r\n Public Function getAnswer(theQuestion As String) As String\r\n Dim r As New Random\r\n Return Answers(r.Next(0, 19))\r\n End Function\r\n\r\nEnd Class\r\n"
|
||
},
|
||
{
|
||
"name": "sample.verilog.txt",
|
||
"content": "`include \"first_counter.v\"\nmodule first_counter_tb();\n// Declare inputs as regs and outputs as wires\nreg clock, reset, enable;\nwire [3:0] counter_out;\n\n// Initialize all variables\ninitial begin\n $display (\"time\\t clk reset enable counter\");\n $monitor (\"%g\\t %b %b %b %b\",\n\t $time, clock, reset, enable, counter_out);\n clock = 1; // initial value of clock\n reset = 0; // initial value of reset\n enable = 0; // initial value of enable\n #5 reset = 1; // Assert the reset\n #10 reset = 0; // De-assert the reset\n #10 enable = 1; // Assert enable\n #100 enable = 0; // De-assert enable\n #5 $finish; // Terminate simulation\nend\n\n// Clock generator\nalways begin\n #5 clock = ~clock; // Toggle clock every 5 ticks\nend\n\n// Connect DUT to test bench\nfirst_counter U_counter (\nclock,\nreset,\nenable,\ncounter_out\n);\n\nendmodule\n"
|
||
},
|
||
{
|
||
"name": "sample.xml.txt",
|
||
"content": "<?xml version=\"1.0\"?>\r\n<configuration xmlns:xdt=\"http://schemas.microsoft.com/XML-Document-Transform\">\r\n <connectionStrings>\r\n <add name=\"MyDB\" \r\n connectionString=\"value for the deployed Web.config file\" \r\n xdt:Transform=\"SetAttributes\" xdt:Locator=\"Match(name)\"/>\r\n </connectionStrings>\r\n <system.web>\r\n <customErrors defaultRedirect=\"GenericError.htm\"\r\n mode=\"RemoteOnly\" xdt:Transform=\"Replace\">\r\n <error statusCode=\"500\" redirect=\"InternalError.htm\"/>\r\n </customErrors>\r\n </system.web>\r\n</configuration>"
|
||
},
|
||
{
|
||
"name": "sample.yaml.txt",
|
||
"content": "%TAG ! tag:clarkevans.com,2002:\n--- !shape\n # Use the ! handle for presenting\n # tag:clarkevans.com,2002:circle\n- !circle\n center: &ORIGIN {x: 73, y: 129}\n radius: 7\n- !line\n start: *ORIGIN\n finish: { x: 89, y: 102 }\n- !label\n start: *ORIGIN\n color: 0xFFEEBB\n text: Pretty vector drawing.\n"
|
||
}
|
||
]; }); |